Wednesday, 2018-05-30

*** tpb has joined #yosys00:00
*** tpb` has joined #yosys00:10
*** tpb has quit IRC00:10
*** tpb` is now known as tpb00:10
*** furan-- has quit IRC00:10
*** furan--_ is now known as furan--00:10
*** kuldeep has quit IRC00:14
*** guan has joined #yosys00:20
*** marbler has quit IRC00:41
*** swick has quit IRC00:41
*** Guest82562 has quit IRC00:41
*** samayra has quit IRC00:41
*** weebull[m] has quit IRC00:42
*** indefini has quit IRC00:42
shapris there some way to donate money to yosys development?00:42
*** lok[m] has quit IRC00:42
*** nrossi has quit IRC00:42
*** jfng has quit IRC00:42
*** pointfree1 has quit IRC00:42
ZipCPUshapr: Wrong time of day to ask.  Most of the team is on European time.00:43
*** Alistair has joined #yosys00:44
*** kuldeep has joined #yosys00:44
*** quigonjinn has quit IRC00:44
qu1j0t3scrollback is 24 hr though00:46
ZipCPU;)00:57
* ZipCPU is trying to write an article about formally verifying a clock switch--quite the fascinating topic00:57
sorearA clock switch?01:03
*** samayra has joined #yosys01:10
ZipCPUYes.01:17
ZipCPUIt's not so much an FPGA design as an ASIC one, but it makes a nice and simple example to illustrate how formal properties can be used to describe two clocks.01:17
ZipCPUI found the code and example via google.  Formally verifying it yielded a "let the buyer beware" sort of moment which should make the article more amusing.01:18
sorearSo a circuit that switches at runtime between two or more clock sources?01:18
ZipCPUExactly!01:18
ZipCPUAnd the trick is ... the circuit can't be allowed to glitch.  The two switch needs to produce a glitch free result that maintains timing properties no worse than the fastest clock.01:21
*** Alistair_ has joined #yosys01:34
*** Alistair has quit IRC01:34
*** seldridge has joined #yosys01:48
*** nrossi has joined #yosys02:00
*** pointfree1 has joined #yosys02:00
*** lok[m] has joined #yosys02:00
*** indefini has joined #yosys02:00
*** swick has joined #yosys02:00
*** Guest23074 has joined #yosys02:00
*** marbler has joined #yosys02:00
*** jfng has joined #yosys02:00
*** weebull[m] has joined #yosys02:00
*** m_w has joined #yosys02:02
*** m_w_ has joined #yosys02:05
*** m_w_ has joined #yosys02:06
*** m_w has quit IRC02:08
*** m_w_ has quit IRC02:09
*** m_w has joined #yosys02:09
sorearDo you allow it to be worse than the slowest clock?02:15
sorear(For circuits requiring a minimum frequency)02:15
ZipCPUHeheh ... while swapping clocks, the "down" period may be longer than the down period of the slowest clock, so yes.02:17
ZipCPUSee ... part of the story of this article is what I discovered while trying to formally verify the clock.02:17
ZipCPUI found the clock switch design on line, and so I'm just formally verifying it.02:18
ZipCPUThe original design can be found on EETimes, in an article written by Mahmud02:18
*** gnufan has quit IRC02:58
*** gnufan has joined #yosys03:05
*** gnufan has quit IRC03:18
*** gnufan has joined #yosys03:24
*** Guest50949 is now known as Kitlith03:33
*** promach has joined #yosys04:14
*** digshadow has joined #yosys04:32
*** mjoldfield has quit IRC04:39
*** digshadow has quit IRC04:47
mazzooshapr: http://www.clifford.at/yosys/donate.html04:59
tpbTitle: Yosys Open SYnthesis Suite :: Donate (at www.clifford.at)04:59
*** m_w has quit IRC05:08
*** seldridge has quit IRC05:10
*** digshadow has joined #yosys05:15
*** dxld has quit IRC05:19
*** dxld has joined #yosys05:20
*** kraiskil has joined #yosys06:20
*** daddesio has quit IRC06:24
*** promach has quit IRC06:27
*** daddesio has joined #yosys06:29
*** kraiskil has quit IRC06:32
*** proteus-guy has quit IRC06:51
*** leviathan has joined #yosys06:54
*** kraiskil has joined #yosys07:05
*** emeb_mac has quit IRC07:07
*** kraiskil has quit IRC07:16
*** kuldeep has quit IRC07:31
*** kraiskil has joined #yosys07:33
*** kuldeep has joined #yosys07:34
*** FabM_cave has joined #yosys07:35
*** FabM_cave is now known as FabM07:37
*** kuldeep has quit IRC07:44
*** kuldeep has joined #yosys07:51
*** indy has quit IRC08:13
*** indy has joined #yosys08:18
*** quigonjinn has joined #yosys09:04
*** kraiskil has quit IRC11:07
*** kuldeep has quit IRC11:13
*** quigonjinn has quit IRC11:16
*** kuldeep has joined #yosys11:29
*** kuldeep has quit IRC11:59
*** kraiskil has joined #yosys12:05
*** kraiskil has quit IRC12:07
*** kuldeep has joined #yosys12:10
*** Alistair_ has quit IRC12:18
*** m_t has joined #yosys12:19
*** Alistair has joined #yosys12:19
*** kuldeep has quit IRC12:30
*** kuldeep has joined #yosys12:41
*** quigonjinn has joined #yosys13:28
*** AlexDaniel has quit IRC13:57
*** AlexDaniel has joined #yosys13:57
*** FabM has quit IRC13:59
*** kristianpaul has quit IRC14:15
*** kristianpaul has joined #yosys14:15
*** seldridge has joined #yosys14:20
*** develonepi3 has joined #yosys14:24
*** FabM has joined #yosys14:29
*** promach has joined #yosys14:42
*** m_t has quit IRC15:05
*** jwhitmore has joined #yosys15:21
*** jwhitmore has quit IRC15:39
*** seldridge has quit IRC16:07
*** jwhitmore has joined #yosys16:08
*** Alistair has quit IRC16:17
*** Alistair has joined #yosys16:17
*** dys has joined #yosys16:19
*** leviathan has quit IRC16:19
*** seldridge has joined #yosys16:24
*** leviathan has joined #yosys16:26
*** jwhitmore has quit IRC16:33
*** quigonjinn has quit IRC16:39
*** seldridge has quit IRC17:18
*** azzizi has joined #yosys17:31
*** promach has quit IRC17:32
*** digshadow has quit IRC17:32
azzizianyone can tell me how do i see all previous chats17:32
*** azzizi has left #yosys17:33
*** seldridge has joined #yosys17:35
*** azzizi has joined #yosys17:35
shaprazzizi: I don't know if yosys is logged17:37
shaprazzizi: oh wait, check the channel topic17:37
shaprazzizi: https://irclog.whitequark.org/yosys/2018-05-3017:37
tpbTitle: #yosys on 2018-05-30 — irc logs at whitequark.org (at irclog.whitequark.org)17:37
azziziThanks very much17:41
azzizi00:02 <ZipCPU> Hi, azzizi! This is a place you can hold a conversation--so you can do more here than just ask questions.  00:03 <ZipCPU> I know the various "synth" steps are usually composed of many separate steps within a design, and these separate steps can be selected and activated individually.  00:04 <ZipCPU> From that standpoint, it sounds like inputting a design via read_verilog, followed by some amount of processing, followed17:45
azzizisorry about that17:45
*** quigonjinn has joined #yosys17:54
azziziMy apologies ; this follow up questions are in reference to 2018-05-24. In coversation with : <ZipCPU> <daveshah> <awygle>18:08
azzizi118:08
*** digshadow has joined #yosys18:08
azzizi1. From what I understand AST forms are for debugging only and can't be made changes to...I just want an intermediate format, be it anything for yosys (awygle suggests ILANG) that doesn't lose any source code information.18:10
azziziCont..1. So I could make a custom Yosys pass ...make some changes to ILANG to get a legal file and ues write_verilog to get a modified format of the output?18:12
daveshahazzizi: Yes, ILANG is definitely the intermediate format you will want to use18:16
azzizi2. Also, according to <daveshah> the write_verilog dumps the internal RTLIL to verilog. For a source code, I had read in using read_verilog and dumped using write_verilog; now using the design compiler software(for tsmc 25nm) I synthesized and it worked! So the RTLIL format is itself synthesizable?18:16
daveshahazzizi: Yes, RTLIL is synthesisable. I don't think it even supports any if many simulator-only constructs18:16
daveshahIf you write a Yosys pass, you work on the RTLIL structures directly rather than the ILANG representation of it, BTW18:17
azzizi3. The intermediate RTLIL can be synthesized to ASIC and FPGA both ? without losing any information ?18:17
azzizi4. <daveshah> Can you please tell me some examples of what information read_verilog looses if possible?  thanks much......18:18
daveshahazzizi: pre-synthesis RTL can be synthesised to ASIC or FPGA. Obviously information, but not functionality, is lost during synthesis as optimisations and technology mapping will occur18:23
*** leviathan has quit IRC18:23
daveshahread_verilog will lose things like comments and the precise structure of the code, AFAIK18:23
*** leviathan has joined #yosys18:24
*** leviathan has quit IRC18:32
*** leviathan has joined #yosys18:34
azzizi<daveshah> Is the output (synth.v) from the command write_verilog synth.v is the pre-synthesis RTL?18:38
azziziAlso, could you please elaborate on the comment " I don't think it even supports any if many simulator-only constructs"18:39
azzizisorry18:39
*** azzizi has quit IRC18:41
*** azzizi has joined #yosys18:42
daveshahazzizi: the output is simply the current design in Yosys18:42
daveshahit will be pre-synthesis until you run synthesis commands (i.e. synth)18:42
daveshahfor simulator only constructs, I mean things you would use to create stimulus (delays for example), etc18:43
azziziso if I use read_verilog and immediately then I use write_verilog file.v .............the file.v is the presynthesis verilog RTLIL format from which I can either way ASIC or FPGA18:49
azziziI can go *18:50
azziziright <daveshah> ?18:53
daveshahazzizi: yes, that is correct18:54
azzizithaks very much....much obliged18:54
*** dxld has quit IRC19:12
*** dxld has joined #yosys19:13
*** leviathan has quit IRC19:30
*** m_t has joined #yosys19:37
*** develonepi3 has quit IRC19:51
*** xerpi has joined #yosys20:34
*** indy has quit IRC21:19
*** indy has joined #yosys21:24
*** indy has quit IRC21:28
*** develonepi3 has joined #yosys21:31
*** indy has joined #yosys21:40
*** emeb has joined #yosys21:46
*** m_w has joined #yosys22:00
*** emeb has quit IRC22:18
*** develonepi3 has quit IRC22:44
*** develonepi3 has joined #yosys22:46
*** xerpi has quit IRC22:48
*** emeb_mac has joined #yosys22:52
*** m_t has quit IRC23:34
*** eduardo__ has joined #yosys23:48
*** eduardo_ has quit IRC23:52
*** danieljabailey has quit IRC23:52

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!