Sunday, 2018-04-15

*** tpb has joined #yosys00:00
mithroSo, I don't understand when I should use "prep" and when I should use "synth" in yosys?00:14
ZipCPUmithro: prep for formal00:16
ZipCPUlet me check a bit deeper tho00:16
mithroShould the following describe a 4 input, 1 output lut?00:17
mithrohttps://www.irccloud.com/pastebin/GNF75eHj/00:17
tpbTitle: Snippet | IRCCloud (at www.irccloud.com)00:17
ZipCPUSure looks like it!00:18
ZipCPUYou might wish to notice, though, that prep doesn't read in any cell libraries00:18
ZipCPUAs a result, if you run prep on that you probably won't get a 4-input LUT00:18
mithroSo, yosys seems to outputting the following blif file00:18
mithrohttps://www.irccloud.com/pastebin/fMKdHfsI/00:19
tpbTitle: Snippet | IRCCloud (at www.irccloud.com)00:19
mithroZipCPU: I'm using synth at the moment...00:19
ZipCPUWhat architecture are you trying to target?00:20
mithroyosys -p "synth; abc -lut 4 opt_clean; write_blif -attr -cname -conn -impltf -param build/testarch/2x4/vlut.eblif" vlut.v00:20
ZipCPUWhat architecture are you trying to target?00:20
mithroA test architecture that doesn't really exist....00:20
mithroAny idea why the missing semicolon between abc and opt_clean didn't cause yosys to error?00:21
ZipCPUBecause opt_clean was taken to be an argument to abc00:21
mithroZipCPU: yosys doesn't check args passed to abc and/or abc doesn't error on the opt_clean extra argument?00:22
ZipCPUthat follows from your description, does it not?  I haven't checked the yosys code, and you've got the log file.00:23
mithroYeah - it seems so00:23
mithroJust testing more now00:23
ZipCPUI was at one time surprised when clifford explained to me what opt -share_all did.  It called the "opt" process and applied it to "-share_all" portion of my design00:25
ZipCPUI had been using it in all my designs up to that point.00:25
ZipCPUOops00:26
mithroZipCPU: https://github.com/YosysHQ/yosys/issues/53200:31
mithroZipCPU: Now I have the semicolon things seem to work... I'm getting a single 4 input lut...00:32
ZipCPU;)00:32
*** proteusguy has joined #yosys00:37
*** proteus-guy has quit IRC00:39
mithroHrm, yosys isn't generating the .attr and .param values I'd expect...00:42
*** promach2 has joined #yosys00:49
*** dys has quit IRC01:00
awyglemithro: there's a flag to generate .attr and .param compatible with arachne01:24
awygleIs that what you're expecting?01:24
mithroawygle: Yeah -- using the http://www.clifford.at/yosys/cmd_write_blif.html01:26
tpbTitle: Yosys Open SYnthesis Suite :: Command Reference :: write_blif (at www.clifford.at)01:26
mithrowrite_blif -attr -cname -conn -impltf -param out.eblif01:27
awygleHmm OK you're already using attr and param01:28
mithroawygle: I'm getting .conn - so some part of it is working...01:28
mithroawygle: Ahh - it seems to only set .attr on .subckt -- not on .names and .latches .....01:33
awygleAhh01:33
mithroawygle: https://github.com/YosysHQ/yosys/issues/53301:46
tpbTitle: When should yosys be generating extended eblif attributes? · Issue #533 · YosysHQ/yosys · GitHub (at github.com)01:46
*** cemerick has joined #yosys02:28
*** emeb_mac has joined #yosys03:11
*** promach2 has quit IRC04:47
*** xrexeon has quit IRC05:06
*** eduardo_ has joined #yosys05:50
*** eduardo has quit IRC05:54
*** pie_ has quit IRC06:13
*** cemerick has quit IRC06:22
*** xrexeon has joined #yosys06:41
*** emeb_mac has quit IRC06:54
*** xerpi has joined #yosys07:04
*** promach__ is now known as promach207:15
*** xrexeon has quit IRC07:17
*** dmin7 has joined #yosys07:30
*** eduardo_ has quit IRC07:42
*** eduardo_ has joined #yosys07:46
keesjhttps://www.crowdsupply.com/tinyfpga/tinyfpga-bx/updates/tinyfpga-b2-and-bx-projects so cool08:09
tpbTitle: TinyFPGA BX - TinyFPGA B2 and BX Projects! | Crowd Supply (at www.crowdsupply.com)08:09
keesjhttps://www.crowdsupply.com/rhs-research/picoevb also08:12
tpbTitle: PicoEVB | Crowd Supply (at www.crowdsupply.com)08:12
*** promach2 has quit IRC08:21
*** promach_ has joined #yosys08:23
*** promach_ is now known as promach208:24
*** proteus-guy has joined #yosys08:52
*** leviathan has joined #yosys08:53
*** oldtopman has quit IRC09:26
*** dys has joined #yosys09:33
*** xerpi has quit IRC09:44
*** leviathan has quit IRC10:09
*** gnufan has quit IRC10:29
*** gnufan has joined #yosys10:33
*** gnufan has quit IRC11:32
*** gnufan has joined #yosys11:39
*** pie_ has joined #yosys11:49
*** proteus-guy has quit IRC11:58
*** gnufan has quit IRC12:10
*** gnufan has joined #yosys12:11
*** gnufan has quit IRC12:23
*** sklv has quit IRC12:25
*** promach2_ has joined #yosys12:28
*** gnufan has joined #yosys12:32
*** leviathan has joined #yosys12:36
*** promach2_ is now known as promach312:40
*** GuzTech has joined #yosys12:55
*** gnufan has quit IRC12:59
*** gnufan has joined #yosys13:02
*** gnufan has quit IRC13:16
*** quigonjinn has joined #yosys13:17
*** cemerick has joined #yosys13:22
*** gnufan has joined #yosys13:22
*** sklv has joined #yosys13:27
*** gnufan has quit IRC13:50
*** [X-Scale] has joined #yosys13:53
*** X-Scale has quit IRC13:54
*** [X-Scale] is now known as X-Scale13:54
*** gnufan has joined #yosys14:05
*** cemerick_ has joined #yosys14:07
*** proteus-guy has joined #yosys14:09
*** cemerick has quit IRC14:11
*** kuldeep has quit IRC14:18
*** sandeepkr has quit IRC14:19
*** cemerick_ has quit IRC14:20
*** kuldeep has joined #yosys14:21
*** sandeepkr has joined #yosys14:21
*** sandeepkr has quit IRC14:28
*** kuldeep has quit IRC14:30
*** kuldeep has joined #yosys14:35
*** sandeepkr has joined #yosys14:36
*** tito_ has joined #yosys14:48
tito_hi14:51
janrinzehi14:51
tito_help to use Diamond FPGA, I posse ice40 1k stick , i'm nabbo I can not create projects, and I have to insert fail to do vga ....... link for me14:55
*** xrexeon has joined #yosys15:24
*** emeb_mac has joined #yosys15:36
*** proteus-guy has quit IRC15:45
*** proteus-guy has joined #yosys15:45
*** proteus-guy has quit IRC15:59
*** proteus-guy has joined #yosys16:01
*** proteus-guy has quit IRC16:03
*** proteus-guy has joined #yosys16:03
*** tito_ has quit IRC16:08
*** proteus-guy has quit IRC16:08
*** proteus-guy has joined #yosys16:08
*** proteus-guy has joined #yosys16:12
*** tito_ has joined #yosys16:13
tito_help to use Diamond FPGA, I posse ice40 1k stick , i'm nabbo I can not create projects, and I have to insert fail to do vga ....... link for me16:14
*** leviathan has quit IRC16:14
mazzoohello16:15
mazzooI'm playing with picosoc and some firmware...16:15
mazzoothis works nicely: https://pastebin.com/0v8fWRu816:15
tpbTitle: [C] // -------------------------------------------------------- #define LEN_HEART - Pastebin.com (at pastebin.com)16:15
mazzoo(it's a simple POV heart) but I can't declare the sign_heart outside of the function16:16
mazzooeven as static it won't work16:16
mazzoowhat am I missing?16:16
*** leviathan has joined #yosys16:17
*** leviathan has quit IRC16:19
*** leviathan has joined #yosys16:19
*** proteus-guy has quit IRC16:20
*** tito_ has quit IRC16:20
*** tito_ has joined #yosys16:35
*** proteus-guy has joined #yosys16:36
*** proteus-guy has quit IRC16:39
*** proteus-guy has joined #yosys16:41
tito_hi help to use Diamond FPGA, I posse ice40 1k stick , i'm nabbo I can not create projects, and I have to insert fail to do vga ....... link for me16:42
*** proteus-guy has quit IRC16:46
*** proteus-guy has joined #yosys16:48
ZipCPUtito_: You asked a week ago.  You claimed to be a newbie, and were trying to place projects (not even built ones) on boards for which they weren't designed.16:55
ZipCPUFurther, you were unwilling to learn how to do a design in order to make those projects fit.16:55
ZipCPUI'm not sure how I could help you at this point.16:55
*** xrexeon has quit IRC17:01
*** tito_ has quit IRC17:01
*** tito_ has joined #yosys17:02
tito_I'm not able to make drawings, I'm not even able to open projects, I can not use the programs for fpga, I have an usb ice40 and I have to turn it into vga, I need links, to upload files and I do not understand17:02
*** sklv has quit IRC17:05
ZipCPUYou may have to explain some more: why can't you make any drawings?  and how do you intend to turn an ice-stick into an VGA, if it doesn't have a VGA connector on it?17:23
ZipCPUOpening projects I can teach, but not if you have no willingness to learn.17:23
*** cemerick_ has joined #yosys17:24
shapryay chicago adventures!17:24
*** promach3 has quit IRC17:32
sorearOh?17:38
tito_I understand only that a usbstick can be programmed and transformed into vga. I do not know any other problems like pins or connectors. I bought an economic ice40 having files, to try ..... but having the files I was hoping not to have problems, to open the program and copy to fpga, now I think it is not possible to transfer fpga files in a simple way as an open / save.17:40
daveshahtito_: What VGA files were you expecting to try?17:41
ZipCPUtito_: You might've had more success if you purchased the same FPGA that was used in the VGA demo project you were looking at: a Spartan 6 (I'd have to look up which one).  Most Spartan 6's have a lot more resources than an iCE40.17:49
*** cemerick_ has quit IRC17:50
ZipCPUIt may not even be possible to place a Spartan 6 design onto an iCE40 -- especially not a 1k iCE40, but then again ... there are designs that will fit.17:50
mazzooBTW: does a picorv32 together with a (e.g.) SimpleVOut fit on an 8k iCE40?17:57
knielsenprobably; I remember putting picorv32 on an 8k along with some simple support logic, taking ~ 50% of luts17:59
*** sklv has joined #yosys18:01
sorearshapr: Chicago?18:03
shaprsorear: yup, are you in chicago?18:11
shaprsorear: if yes, want to hang out and chat this evening?18:11
sorearshapr: unfortunately no18:11
sorearshapr: i'm in Boston rn; forget if I ever told this channel18:12
sorear[was asking what the chicago adventures were]18:12
tito_I also found https://github.com/lattice/quda but the problem is always that they are files ........ and I can not find guides to transfer and I do not understand. I can not even talk to ice ........18:14
tpbTitle: GitHub - lattice/quda: QUDA is a library for performing calculations in lattice QCD on GPUs. (at github.com)18:14
shaprsorear: oh, I'm giving a Haskell intro at the south side hackerspace chicago18:14
sorear[incidentally if anyone here IS in the greater MIT area…]18:15
shaprsorear: I would have looked you up this past November if I'd known that18:16
sorearshapr: i've been here three weeks, before that I was in San Diego18:17
shaproh18:18
*** sandeepkr has quit IRC18:42
*** kuldeep has quit IRC18:45
*** sandeepkr has joined #yosys18:47
*** kuldeep has joined #yosys18:48
*** eduardo_ has quit IRC19:23
*** pie__ has joined #yosys19:49
*** pie_ has quit IRC19:53
*** dmin7 has quit IRC20:56
*** [X-Scale] has joined #yosys21:45
*** X-Scale has quit IRC21:45
*** [X-Scale] is now known as X-Scale21:45
*** leviathan has quit IRC21:53
*** GuzTech has quit IRC22:00
*** xrexeon has joined #yosys22:19
*** gnufan has left #yosys22:21
*** jkiv has joined #yosys23:02
*** danieljabailey has quit IRC23:03
*** danieljabailey has joined #yosys23:03
tito_hi23:11
tito_I would have 1 question23:12
mithroClifford was interested in posits IIRC?23:21
mithroDoes anyone know why this set of -luts arguments was chosen for Xilinx Synth ? -->  abc -luts 2:2,3,6:5,10,2023:27

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!