Sunday, 2018-04-08

*** tpb has joined #yosys00:00
titp..00:03
ZipCPUYo, titp!00:09
titpHelp I own an ice40hx1k and use team tera under windows. I do not know how to load and talk with ice, I need to load  file.tar00:23
*** dys has quit IRC00:29
*** AlexDaniel has joined #yosys00:29
ZipCPUtitp: I don't think so.01:10
ZipCPUI think that file.tar contains other files01:10
ZipCPUPerhaps one of them you may need to load.01:11
ZipCPUThe file you actually want to load onto your device should have a .bin extension, not a .tar extension01:11
*** emeb_mac has joined #yosys01:22
ZipCPUOften you can double click on an archive file, such as a file.tar, and windows can/will open it01:39
* ZipCPU isn't using Windows, so he isn't completely certain01:39
*** emeb_mac has quit IRC01:45
*** digshadow has quit IRC02:07
*** ZipCPU has quit IRC02:10
*** emeb_mac has joined #yosys02:13
*** ZipCPU has joined #yosys02:19
*** xrexeon has quit IRC03:14
*** mazzoo has joined #yosys05:01
*** AlexDaniel has quit IRC05:21
*** dys has joined #yosys05:32
*** titp has quit IRC05:38
*** pie_ has quit IRC05:59
*** emeb_mac has quit IRC07:28
*** xerpi has joined #yosys07:34
*** AlexDaniel has joined #yosys07:48
*** pie_ has joined #yosys08:30
*** pie__ has joined #yosys08:35
*** pie_ has quit IRC08:38
*** xerpi has quit IRC10:25
*** digshadow has joined #yosys11:05
*** eduardo_ has joined #yosys11:09
*** eduardo__ has quit IRC11:12
*** cemerick has joined #yosys11:38
*** pie__ has quit IRC11:41
*** AlexDani` has joined #yosys11:48
*** AlexDaniel has quit IRC11:50
*** AlexDani` is now known as AlexDaniel11:59
*** cemerick has quit IRC12:00
*** dys has quit IRC12:31
*** dys has joined #yosys12:55
*** pie_ has joined #yosys12:55
*** xrexeon has joined #yosys13:19
*** cemerick has joined #yosys13:27
*** cemerick_ has joined #yosys13:29
*** cemerick has quit IRC13:33
*** xrexeon has quit IRC13:38
*** tito_ has joined #yosys13:45
tito_Help I own an ice40hx1k and use team tera under windows. I do not know how to load and talk with ice, I need to load  file.tar13:46
*** cemerick has joined #yosys14:09
*** cemerick_ has quit IRC14:12
*** cemerick has quit IRC14:35
*** tito_ has quit IRC14:44
*** xrexeon has joined #yosys14:45
*** xrexeon has quit IRC14:59
*** xrexeon has joined #yosys15:00
*** pie_ has quit IRC15:18
*** emeb has joined #yosys15:20
*** pie_ has joined #yosys15:24
*** X-Scale has quit IRC15:57
*** emeb_mac has joined #yosys15:59
*** cemerick has joined #yosys16:06
*** tito_ has joined #yosys16:21
tito_Help I own an ice40hx1k and use team tera under windows. I do not know how to load and talk with ice, I need to load  file.tar16:26
sorearI don't understand the question16:28
daveshahtito_: what are you trying to program, and where did file.tar come from?16:39
tito_the file is coming from github.com and I wanted to do a cpu openmsp43016:46
daveshahI think openmsp430 will be too big for the iCE40HX1k16:47
daveshahHave you run anything at all on your iCE40 yet?16:47
tito_i'm nabbo I insert usb and usb connection but the terminal remains empty I also wanted to try other files on github.....16:52
daveshahFirst of all have you installed the icestorm tools? They are the easiest way to start building designs. You will need to use these (or other tools such as Lattice iCEcube) to convert the Verilog source you find in these projects to a bitstream that you can program the FPGA with16:53
daveshahHave a look at the install instructions here: http://www.clifford.at/icestorm/16:53
tpbTitle: Project IceStorm (at www.clifford.at)16:53
daveshahAnd then have a go at running the provided example (run `make` to build it and `make prog` to program the board): https://github.com/cliffordwolf/icestorm/tree/master/examples/icestick16:54
tpbTitle: icestorm/examples/icestick at master · cliffordwolf/icestorm · GitHub (at github.com)16:54
tito_yes I have icecube2 but it does not connect16:55
daveshahI don't think icecube2 itself can do programming. You will either need to use Diamond programmer from Lattice or `iceprog` from Project Icestorm16:56
tito_so see how I understand usb has a green LED on, but it's dead because I have to insert a Project IceStorm, afterwards can I make it a vga or cpu?16:58
daveshahSort of. What you need to program it with is called a "bitstream" that can come from icecube2 or Project Icestorm. Then you can make it do stuff. But I would start blinking LEDs before moving to CPUs or VGA controllers16:59
*** X-Scale has joined #yosys17:04
*** danieljabailey has joined #yosys17:04
tito_IceStorm I can not use ..... I plug in the green and lit LEDs and 4 red flashes but the terminal is empty? does it mean that it is in wait?17:05
daveshahYou won't see anything in the terminal unless you have a serial interface in your design17:05
daveshahWhat are you running when you use Icestorm?17:06
tito_OK thanks ...... I see ...... I use icecube2 but I do not know, for me one is worth more17:09
daveshahProject Icestorm is probably easier to get started with, particularly on Linux or Mac17:09
tito_I use windows and tera term for usb connection ....... but I still need icecube2?17:13
daveshahTera term is only useful once you have programmed the FPGA with something that supports communication over serial. First you will need to use either icecube2 or icestorm to build a bitstream; and Lattice Diamond programmer or icestorm to program that bitstream17:19
daveshahMaybe take a look at https://blog.monostable.co.uk/posts/beginner-fpga-programming-using-open-source-tools-1-introduction17:21
tpbTitle: Beginner FPGA Programming Using Open Source Tools #1: Introduction - Monostable Blog (at blog.monostable.co.uk)17:21
daveshahThat will get the icestorm tools set up17:21
daveshahOr this series: https://hackaday.com/2015/08/19/learning-verilog-on-a-25-fpga-part-i/ and https://hackaday.com/2015/08/27/learning-verilog-for-fpgas-hardware-at-last/17:22
tpbTitle: Learning Verilog for FPGAs: The Tools and Building an Adder | Hackaday (at hackaday.com)17:22
*** X-Scale has quit IRC17:24
ZipCPUdaveshah: Did you see the most recent reddit.com/r/yosys question?17:44
daveshahSeen it now. I don't know of much better than the official icestorm docs, which they already seem to have found17:45
ZipCPUOk, thought you might.  Guess not.  Thanks!17:48
daveshahNo, unfortunately not. I think the icestorm docs are reasonable in terms of what can be ascertained from the bitstream, anything else is either generic CMOSy stuff or stuff Lattice would have to publish17:50
*** m_w has joined #yosys18:09
*** ralu has quit IRC18:11
cr1901_modernThey are still terse to read IME18:11
*** tito_ has quit IRC18:11
cr1901_modernNo I'm not bitter I don't have the cognitive b/w to understand them, why do you ask?18:11
*** ralu has joined #yosys18:12
*** cemerick has quit IRC18:21
*** captain_morgan has quit IRC18:24
*** captain_morgan has joined #yosys18:24
keesj318:52
ZipCPU418:53
keesjback form "camping" and reading up18:54
*** X-Scale has joined #yosys19:47
*** pie__ has joined #yosys19:49
*** pie_ has quit IRC19:53
*** pie__ has quit IRC21:57
*** pie_ has joined #yosys22:01
*** Chobbes has quit IRC22:38
*** AlexDaniel has quit IRC22:39
*** pie_ has quit IRC22:50
*** Chobbes has joined #yosys22:52
*** danieljabailey has quit IRC23:03
*** danieljabailey has joined #yosys23:03
*** tito_ has joined #yosys23:33
tito_help23:33
*** Chobbes has quit IRC23:46
*** Chobbes has joined #yosys23:51
*** Chobbes has quit IRC23:57

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!