Monday, 2018-03-26

*** tpb has joined #yosys00:00
*** promach2 has joined #yosys00:16
*** digshadow has quit IRC00:19
*** sklv has quit IRC00:32
*** sklv has joined #yosys00:33
*** cemerick has joined #yosys00:36
*** digshadow has joined #yosys00:39
*** sklv has quit IRC01:02
*** sklv has joined #yosys01:03
*** promach2 has quit IRC01:14
*** cemerick has quit IRC01:20
*** jophish_ has joined #yosys01:24
*** jophish_ is now known as Guest8548601:24
*** AlexDaniel has quit IRC01:41
*** Guest85486 has quit IRC01:49
*** jwhitmore has joined #yosys02:05
*** AlexDaniel has joined #yosys02:40
*** seldridge has joined #yosys02:45
*** jwhitmore has quit IRC02:49
*** kmehall has quit IRC03:33
*** kmehall has joined #yosys03:34
*** AlexDaniel has quit IRC03:54
*** seldridge has quit IRC03:58
*** dys has quit IRC05:28
*** dys has joined #yosys06:35
*** FabM_Cave has joined #yosys06:45
*** FabM_Cave is now known as FabM06:46
*** dys has quit IRC08:00
*** pie_ has joined #yosys08:21
*** GuzTech has joined #yosys08:21
*** pie__ has quit IRC08:21
*** GuzTech has quit IRC08:22
*** jwhitmore has joined #yosys08:30
*** GuzTech has joined #yosys08:37
*** cemerick has joined #yosys08:46
*** sklv has quit IRC09:16
*** sklv has joined #yosys09:17
*** xrexeon has joined #yosys09:56
*** proteusguy has joined #yosys10:12
*** leviathan has joined #yosys10:18
*** leviathan has quit IRC10:19
*** leviathan has joined #yosys10:20
*** cemerick has quit IRC10:50
*** jophish_ has joined #yosys10:51
*** jophish_ is now known as Guest5375310:51
*** xrexeon has quit IRC11:00
*** eduardo__ has joined #yosys11:08
*** eduardo_ has quit IRC11:11
*** Guest53753 has quit IRC12:37
*** promach__ has joined #yosys13:08
*** promach__ is now known as promach213:09
*** AlexDaniel has joined #yosys13:33
*** kmehall has quit IRC13:58
*** kmehall has joined #yosys14:00
*** jophish_ has joined #yosys14:43
*** jophish_ is now known as Guest1112714:43
*** seldridge has joined #yosys14:46
*** Guest11127 has quit IRC15:04
*** kmehall has quit IRC15:06
*** kmehall has joined #yosys15:07
*** pie_ has quit IRC15:11
*** fouric has quit IRC15:12
*** GuzTech has quit IRC15:17
*** cemerick has joined #yosys15:24
*** emeb has joined #yosys15:26
philtorZipCPU: The thread seemed to die with the debbie downer there at the end.17:00
ZipCPUYeah, I saw that.  Did you see the link to the Digilent thread?17:01
philtorno17:01
ZipCPUCheck the post mentioning Olof's quote.17:01
philtor"Companies cannot usually afford the man hours to use something like this."  I spent a huge number of man hours trying to work around vivado bugs.17:02
ZipCPUI think it was a small second quote I made.  There's a link in it to a fascinating Digilent thread, discussing why open source tool chains are *really* valuable.17:02
philtorBugs that Xilinx wasn't even interested in hearing about from us17:02
ZipCPUGot to run, back in a bit17:02
philtoroh, I see it here: https://forum.digilentinc.com/topic/4472-rants-about-fpga-tool-chains/17:06
tpbTitle: Rants about FPGA tool chain(s) - Technical Based Off-Topic Discussions - Digilent Forum (at forum.digilentinc.com)17:06
awygleI think the topic of support is chronically under appreciated by FOSS engineers17:12
awygleAlso someone should tell zygot that you can download Quartus Prime for free and design for the Cyclone 10s without a (paid) license17:17
*** digshadow has quit IRC17:18
ZipCPU:D17:19
awyglei feel i may have come into the conversation halfway through, however17:22
ZipCPUawygle: The conversation began with a post on Altera's forums, asking about free software.17:23
ZipCPUphiltor linked to a tweet about it here (IIRC): https://twitter.com/zipcpu/status/97751692087753523217:23
*** m_w has quit IRC17:24
awygleZipCPU: thanks for the link. Tricky sums up (at least a part of) my feelings well17:25
ZipCPUYeah.  Understood.  There didn't seem to be much more to say after he posted, so ... the conversation died there.17:26
awyglemy understanding is that UVM is open source17:26
awyglebut that the current state of the rest of the open source ecosystem doesn't support its use17:27
awygledoes that match your understanding?17:27
*** promach2 has quit IRC17:27
ZipCPUNot sure I could say much about UVM, never tried it, never used it, still struggling to figure out how it comes to play in this whole ecosystem.17:28
* awygle is all fired up but has to do his actual job17:34
ZipCPUawygle: Feel free to support me on Patreon, then you won't feel as guilty!  :P17:34
awygleZipCPU: has your patreon made a meaningful impact on the amount of open source work you're able to do?17:35
ZipCPUNot sure how I'd answer that.17:36
ZipCPUI mean ... even with all the numbers, finances, figures, etc., ... I'm not sure how I would go about answering it.17:36
ZipCPUI will say this, almost *all* of my work in the past couple years is on github.17:36
awygleall right, let me rephrase: i want to do more open source work, should i start a patreon? :p17:38
ZipCPUAhh, okay, that's an easier question to address.17:39
awyglei suspect your advice will be "start a blog"17:39
ZipCPUI've had the patreon account now for 3/4 of a year now, and ... it doesn't pay the needs of my family.  Specifically, it's not coming close to paying for my son's college tuition.17:40
ZipCPUPatreon is one of those things where you have to build a following, and ... it can be slow doing that.17:40
ZipCPUThere are folks who are making $40k+/year on Patreon.  That would cover a lot (not all) of my expenses, but I'm a long way from getting there.17:41
awyglethis is more or less my impression as well. donations as a business model are very challenging17:42
ZipCPUAlthough, I might have a suggestion for you if you are interested in that road ...17:42
ZipCPU"Inbound Marketing: Get found using Google, Social media, and blogs", by Brian Halligan and Dharmesh Shah --- a wonderful book about today's marketing challenges and solutions.17:43
awyglethanks for the suggestion! i'll add it to my list17:43
awyglei've fallen very behind on books recently but i hope to have time to improve the situation soon17:44
ZipCPUI found it at a used bookstore.  I'm not intending on selling my copy, not sure why whoever sold it to the used book store did--the things' been gold to me.17:44
*** xerpi has joined #yosys17:48
xerpihi, it seems like modules are searched on <modname>.v but I'm using systemverilog so my extensions are .sv17:49
xerpihttps://github.com/YosysHQ/yosys/blob/a96c775a7301645b27486a5e663c75fca460f577/passes/hierarchy/hierarchy.cc#L17617:49
tpbTitle: yosys/hierarchy.cc at a96c775a7301645b27486a5e663c75fca460f577 · YosysHQ/yosys · GitHub (at github.com)17:50
xerpiwould it be a good idea to be able to tell yosys about our file extensions?17:50
awyglesounds like a useful thing to do17:51
*** digshadow has joined #yosys17:51
awygleas long as you're submitting a PR, the obvious generality of that loop with two explicit cases hurts my heart :p17:52
xerpiawygle, yeah having a list of "let's try this extension" would be cleaner17:54
awyglexerpi: i was thinking a list of (frontend, extension) pairs myself but yeah, basically17:55
xerpiawygle, {"verilog", {".v", ".sv"}}, {"ilang", ".il"} ?17:55
xerpiyeah I guess that would be much cleaner17:56
awyglexerpi: ("verilog", ".v"), and then --verilog-ext=".sv" is what i was picturing. a multi-level map is more general though17:57
xerpiand what about the other frontends?17:57
xerpiit would be hard to know that given --foo-ext, figure out foo is a frontend17:57
xerpitricky to parse17:58
awyglesure, just what was my first thought17:58
xerpihmm that's weird17:58
awyglesince frontends require code changes (writing the frontend) i was okay with that list being hardcoded17:58
xerpiI'm using yosys to generate a json17:58
xerpiyosys -q -o top.json -p proc -p opt -p "hierarchy -auto-top" $(TOP)17:58
xerpitop.sv instantiates from a module called fulladder.sv17:59
xerpiI've copied fulladder.sv to fulladder.v but it doesn't find it (no fulladder block in the diagram)17:59
xerpiif I run: yosys -q -o top.json -p proc -p opt -p "hierarchy -auto-top" $(TOP) fulladder.sv17:59
xerpiit works17:59
xerpiso I have to add all my .sv's to the cmd line18:00
xerpiawygle, I'd write that pull request if that prevent me from having to add the list of all my .sv's xDD18:00
xerpiI don't have to pass my list of .sv's (only top module) to verilator and I'd like to be able to do the same with yosys :P18:01
xerpiI guess I'll use *.sv for now heh18:08
ZipCPUBe aware ... with *.sv (or *.v for that matter) you may need to identify to hierarchy which module is the "top" module18:09
xerpiZipCPU, yeah for now my project is very small, but I can set the top module using the hierarchy command18:12
ZipCPUk18:12
awyglei've been explicitly read_verilog-ing all of my files every time >_>18:12
xerpiI still was expecting copying my .sv to .v to work hm18:12
* ZipCPU has done the same as awygle, even teaching AutoFPGA how to compose that list of files18:13
awyglei think the autodetect only works if the module name is the same as the .v file name, so you might have to read_verilog the file containing top?18:13
xerpiawygle, exactly, that's what I was expecting18:13
xerpiand also what I'm doing18:13
awygleit doesn't look like it on your command line though. you're just using hierarchy -auto-top, not actually grabbing the file containing $(TOP)18:14
awygle(unless you pass it explicitly)18:14
xerpioh ok let me try that18:14
awyglebasically i'd expect it to find the fulladder module automatically, but not any others18:15
xerpiso not specifying any hierarchy should also work18:16
xerpiyosys -q -o $tmp_json -p proc -p opt mytopmodule.sv18:16
xerpidoesn't automatically detect fulladder.v :(18:16
xerpi(also tried "hierarchy -top mytopmodule")18:17
*** dys has joined #yosys18:32
*** puddingpimp has quit IRC19:02
*** seldridge has quit IRC19:08
*** klklkliiiii has joined #yosys19:26
* ZipCPU is checking whether or not the current yosys in the repo supports xerpi 's request19:27
*** seldridge has joined #yosys19:28
ZipCPUxerpi: Try a pull of yosys from github.com/YosysHQ ... see if that helps you out at all.19:29
*** klklkliiiii has quit IRC19:38
*** jwhitmore has quit IRC19:41
xerpiZipCPU, sure, I'll try the upstream version19:42
xerpimy OS version is Yosys 0.7 (git sha1 cc49ece)19:43
ZipCPUThe upstream version may have just changed ....19:43
xerpinope still not working :/19:46
xerpiI'll add some debug printfs to see what's going on hehe19:46
ZipCPUNo?19:46
xerpino, it doesn't automatically load fulladder.v19:47
ZipCPUTry this, put together a minimal example of what's failing that can then be used as both a means of communicating what you are looking for, as well as a test case.19:52
xerpithat would be a good idea yeah19:56
xerpibtw, expand_module is only called when doing an hierarchy pass19:57
xerpiand frontend_call is called only if libdirs is not empty19:57
xerpiI guess it would be nice to always add the dir of the module that's being checked to libdirs20:00
*** jwhitmore has joined #yosys20:01
*** kmehall has quit IRC20:05
*** kmehall has joined #yosys20:08
xerpiI've added some cout's and it's definitely looking for fulladder when running "hierarchy"20:11
xerpithe problem is that the libdirs list is empty20:12
xerpiI'll try pushing back the path of the module20:12
*** seldridge has quit IRC20:12
*** jwhitmore has quit IRC20:14
xerpiyeah adding -libdir manually makes it try to load the fulladder.v20:18
*** pie_ has joined #yosys20:20
xerpiyay, fixed it!20:31
xerpiZipCPU, awygle what do you think? https://hastebin.com/ipeladelew.diff20:33
awygleLooks reasonable to me, so long as "verilog - sv" is the correct front-end20:35
ZipCPUOther than the extensions_map and the reconstruction brought about with it ... how does that fix your issue in a way that the previous fix didn't?20:36
awygleI'm not a Yosys dev though so ultimately my thoughts are of limited use lol20:36
xerpiZipCPU, now it will also looks for .sv files20:36
ZipCPUJust like the build from github should've done for you.20:37
xerpistill have to pass "-libdir ." to hierarchy but that's not a problem20:37
ZipCPUThat's why I had you do a pull ... to get the change that should've fixed your issue.20:37
xerpiZipCPU, not really, without my patch it only tries to open .v and .il files20:37
xerpiuh what20:37
*** pie_ has quit IRC20:37
ZipCPUThen you didn't get the right files.  :)20:37
xerpiurgh yeah, sorry :(20:37
*** pie_ has joined #yosys20:37
xerpiI thought my pull you meant "fix it and do a pull request"20:37
xerpiby pull*20:38
ZipCPUNope.20:38
xerpiright, sorry then20:38
*** seldridge has joined #yosys20:38
xerpianyways my "fix" can now be considered a cleanup lol20:38
xerpiless code duplication20:38
ZipCPUI mean ... I like your patch and all, and see how it could be valuable ... especially if yosys tries to add other files (.vhd for example) ...20:38
xerpiexactly :)20:39
xerpihere's a rebased version of it: https://hastebin.com/raw/omexabipiv20:41
xerpiI have a compilation error on ABC btw: https://hastebin.com/raw/unadehigoq20:43
xerpibitbucket.org/alanmi/abc has now moved to github :)20:43
*** jwhitmore has joined #yosys20:45
*** m_w has joined #yosys20:51
*** leviathan has quit IRC21:03
ZipCPUOk, let me look into that one ...21:10
ZipCPUSure looks like it ...21:11
ZipCPUxerpi: Just submitted a yosys issue regarding the location of ABC.21:41
xerpiZipCPU, nice!21:42
ZipCPUclifford is usually fairly responsive to simple issues, so ... I expect it'll be "fixed" soon enough.21:42
xerpigood to hear22:08
*** jwhitmore has quit IRC22:10
*** promach2 has joined #yosys22:27
*** m_w has quit IRC23:17
*** zkrx has quit IRC23:20
*** zkrx has joined #yosys23:22
*** zkrx has quit IRC23:25
*** xerpi has quit IRC23:34
*** zkrx has joined #yosys23:34

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!