Tuesday, 2018-07-03

*** tpb has joined #vtr-dev00:00
elmsmithro:  I realized that the something is still not 100% right. I essentially have a iceblink in parallel (counter->LEDs)with the ram test. But only the RAM LED was blinking. Replacing  the LED with a constant instead of the RAM value and then the counter->LED part routes and works on the icestick. My attempt so far today to debug the routing has not been fruitful.17:00
mithrokem_: Ping? Any chance you are around and have time to chat?17:00
mithroelms: So the reading / writing block ram doesn't seem to be working?17:01
elmsno I think the reading from RAM is working with the data section. Haven't tested writing (ie checker)17:02
daveshahelms: have you looked at the icebox_vlog output?17:02
mithrodaveshah: That is what we were looking at last night17:03
elmsLooking at it again right now. I'm still working on my reading verilog skills17:06
daveshahI found symbol output helps a lot. Did you get that working?17:07
elmsdaveshah: what do you mean by symbol output?17:09
daveshahelms: if you use sym statements in the asc file, you can map an icebox net number to an input net name17:09
daveshahHave a look at the arachne-pnr asc output for an example17:10
mithrodaveshah: Yeah, we would need to figure out how to get symbol data through HLC to the ASC17:31
mithroelms: Okay, I'm going to try and get the ice40 stuff merged into symbiflow-arch-defs without the ram for the moment17:32
daveshahYeah, you'd probably need a tag in HLC or something17:32
daveshahIf you have the icebox net numbers as metadata in VPR though, then the VPR side shouldn't be too bad17:33
mithrodaveshah: We have all the data we need in the HLC comments we already egnerate17:33
daveshahmithro: Given this is debug info I would argue hot comments are actually OK17:33
mithrodaveshah: hot comments?17:34
elmsmithro: ok. Have we tested routing with any other projects with more complex logic?17:34
daveshahmithro: comments with a meaning beyond comments17:34
mithroelms: Beyond blinky?17:34
mithroelms: Not really17:34
mithroelms: Have you tried doing equivalence check?17:35
daveshahmithro/elms: rs232demo is nice as something a bit more than blinky but no RAM, and has a testbench17:37
daveshahhttps://github.com/cliffordwolf/icestorm/blob/master/examples/icestick/rs232demo.v17:37
tpbTitle: icestorm/rs232demo.v at master · cliffordwolf/icestorm · GitHub (at github.com)17:37
elmsyeah and was running into an issue that I think is related to modeling the ram behavior17:37
elmsdaveshah: thanks17:37
mithroYeah we should get that in17:37
mithroelms: While daveshah is around you should ask him about that17:37
daveshahI am not exactly sure how the equivalence check works with RAM17:37
mithroelms: And he can tell you that he doesn't know how equivalence checking works either ;-)17:38
daveshahBeware it does also test for a limited number of cycles without temporal induction17:38
daveshahIMO simulation would probably be better17:38
daveshahI suspect the problem is the SB_RAM40_4K17:38
elmsThat's what I was going to try next.17:38
elmssimulation I mean.17:39
daveshahAnother nasty gotcha, that all of the demo stuff deals with but you must beware of, is in hardware initialised BRAM will be invalid until about 30 cycles after startup17:40
mithroelms: Any luck with that simulation?20:20
elmsmithro: some. I have it on my laptop and will lookmore during my flight.21:29
mithroelms: Okay - can you send me an email with the status when you stop working for the holidays?21:29
elmsWill do21:31

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!