Wednesday, 2021-11-24

*** tpb <[email protected]> has joined #symbiflow00:00
*** _whitenotifier-5bbc <[email protected]> has joined #symbiflow03:23
tpb<c​r1901> Wow, the uhdm plugin is next-to-impossible to compile locally04:44
tpb<c​r1901> I give up... I hope I don't need it04:44
tpb<c​r1901> can't find uhdm, can't find surelog, recompile with -fPIC04:45
tpb<c​r1901> Ahhh finally... need to pass -DCMAKE_POSITION_INDEPENDENT_CODE=ON to Surelog and use Surelog's copy of UHDM05:00
tpb<s​f-slack> <tgorochowik> cr1901: we use this integration repo to build everything: https://github.com/antmicro/yosys-uhdm-plugin-integration it contains all the dependencies as submodules and has a build script that puts everything together09:29
tpb<s​f-slack> <tgorochowik> a proper readme/manual is needed though! we certainly plan to write one09:29
tpb<c​r1901> tgorochowik: For development purposes it's easier if I use my own personal copy of yosys and have the plugins be loaded by my copy/branch16:18
tpb<c​r1901> However, this didn't go to plan b/c I managed to make dram_test_64x1d_bit segfault16:19
tpb<c​r1901> My copy of yosys isn't that far off the conda copy... is the conda copy patched in some way that's not upstream?16:19
tpb<c​r1901> But I did manage to get it compiled/wrote it down16:21
tpb<s​f-slack> <tgorochowik> yes and no, we do have a patched yosys that adds some new features, however the plugin should also work with mainline yosys (to which we also contribute things we need for better systemverilog support)16:22
tpb<s​f-slack> <mkurc> cr1901: The version string of the conda package contains SHA of the git revision. I'm not aware of any changes to it made during packaging.16:22
tpb<s​f-slack> <tgorochowik> what is important though is that you use compatible surelog/uhdm/plugin repos, this flow is still under heavy development so if you take everything from mainline it may not work - that's why the integration repo holds proper submodules with those16:23
tpb<c​r1901> I don't think the uhdm plugin is crashing16:24
tpb<c​r1901> Something's not right on my end, hmmm...16:25
tpb<s​f-slack> <tgorochowik> we'll be happy if you file a bug in case you find any issues with the plugin or yosys with the plugin, we'll take a look at it then to help you push it forward16:26
tpb<c​r1901> I can do that, will have to minimize first tho :D16:26
tpb<s​f-slack> <tgorochowik> but just to be on the safe side, you can use the repo I mentioned above, the script has a --skip-yosys arg (https://github.com/antmicro/yosys-uhdm-plugin-integration/blob/master/build_binaries.sh#L11) meant specifically for a use-case similar to yours (externally provided yosys)16:27
tpb<c​r1901> ""make dram_test_64x1d_bin" with my own copy of yosys and plugins crashes" isn't exactly helpful16:28
tpb<c​r1901> tgorochowik: Ack: re skip-yosys16:28
tpb<c​r1901> The only thing that's different from my install so far is I pass BUILD_UPSTREAM=1 to the plugin16:29
tpb<c​r1901> because BUILD_UPSTREAM=0 assumes yosys installs frontend/verilog/verilog_frontend.h to the dest, and my copy doesn't16:30
tpb<s​f-slack> <tgorochowik> yeah, the build process is quite simple, but the important thing are the versions of the submodules16:30
tpb<c​r1901> (and forcing it to be installed gets errors like "the class member 'is_packed' isn't found"16:30
tpb<s​f-slack> <mkurc> cr1901: Could you explain how you are running the "dram_test_64x1d_bin" test? Where does it come from?16:39
tpb<c​r1901> I built symbiflow by running "make env" with the following environment variables set (h/o):16:41
tpb<c​r1901> http://gopher.wdj-consulting.com:70/paste/1d361514-1404-47e6-9e45-e844e1bbcb10.txt16:41
tpb<c​r1901> make CMAKE_FLAGS="-GNinja \16:42
tpb<c​r1901>         -DTRELLIS_LIBDIR=/home/william/Projects/FPGA/prjtrellis/libtrellis \16:42
tpb<c​r1901>         -DTRELLIS_DATADIR=/home/william/Projects/FPGA/prjtrellis \16:42
tpb<c​r1901>         -DYOSYS_DATADIR=/home/william/Projects/FPGA/yosys/share" env16:42
tpb<c​r1901> Yosys 0.11+47 (git sha1 113c94384, sccache gcc 9.3.0-17ubuntu1~20.04 -fPIC -Os)16:42
tpb<c​r1901> After conda is finished being set up via make env, I cd to my build/ directory and run16:43
tpb<c​r1901> ninja -j4 dram_test_64x1d_bit (sic... sorry I typo'd)16:44
tpb<c​r1901> Eventually, after most of the build runs successfully, yosys will die with a segfault: http://gopher.wdj-consulting.com:70/paste/e5174237-0957-4424-b3d3-5b203262cba6.txt16:45
tpb<s​f-slack> <mkurc> cr1901: So you basically running the test from symbiflow-arch-defs but you are "injecting" a locally build Yosys binary.16:45
tpb<s​f-slack> <mkurc> I don't think that the way you run that actually uses surelog/UHDM16:45
tpb<c​r1901> mkurc: Correct. I may need to do dev against my machxo2 yosys branch16:45
tpb<c​r1901> AHhh I see, well... still a bug :P?16:46
tpb<s​f-slack> <mkurc> Maybe it is a problem with the yosys revision being incompatible with symbiflow-arch-defs16:47
tpb<s​f-slack> <mkurc> The revision used on master (conda pkg) is yosys=0.11_7_g4bf8deacb=20211005_122659_py3716:47
tpb<c​r1901> Rebuilding/reconf'ing now17:00
tpb<c​r1901> No, that revision of yosys still fails... what version of yosys-plugins are used?17:03
tpb<s​f-slack> <mkurc> All the revisions are defined in this file https://github.com/SymbiFlow/symbiflow-arch-defs/blob/master/conda_lock.yml17:13
tpb<s​f-slack> <mkurc> The plugins are in yosys-symbiflow-plugins.17:13
tpb<c​r1901> Nope still fails w/ correct yosys version and correct plugin version17:15
tpb<c​r1901> Fabulous... wonder if yosys or the plugins are being miscompiled on my end17:15
tpb<c​r1901> I'm deliberately not doing a clean build b/c I'm not waiting 20 minutes for the channels to be generated each time17:16
-_whitenotifier-5bbc- [fpga-tool-perf] tcal-x opened issue #381: FASM runtime doesn't make sense (lifcl-17, hps-accel-gen1) - https://git.io/JMJTc17:25
tpb<c​r1901> I created a build dir where I inject nothing except "things required for my branch which should have absolutely no effect on the build", and we'll see what happens17:30
tpb<c​r1901> Generating channels now... See you in 30 mins :P17:30
tpb<s​f-slack> <nelson> Working on the techmapper for MMCME2_ADV, did a clean checkout of symbiflow-arch-defs, did a ‘make env’ and got a new error haven’t seen before.  Anyone know if this is due to a change in one of the dependencies?  `Submodule path 'third_party/symbiflow-xc-fasm2bels/third_party/prjxray/third_party/yosys': checked out '54294957ed15d954c69c2722028209077cf91cfe'` Submodule path18:41
tpb<s​f-slack> ‘third_party/symbiflow-xc-fasm2bels/third_party/prjxray-db’: checked out ‘8372b58fe62c67f8f5896d78cd1611d01621dfae’ Submodule path ‘third_party/symbiyosys’: checked out ‘091222b87febb10fad87fcbe98a57599a54c5fd3’ Submodule path ‘third_party/vtr-xml-utils’: checked out ‘eebb820539465870124d1140b30d56f6f273a24c’ Submodule path ‘third_party/xc-fasm’: checked out18:41
tpb<s​f-slack> ‘14afc2bae24cbf6ee5e7d057a58b4cbd776358d0’ Unable to checkout ‘a598aa2d3c5157d33d6a7aa2abab609f592ea1a8’ in submodule path ‘third_party/qlfpga-symbiflow-plugins’ Makefile:10: third_party/make-env/conda.mk: No such file or directory make: *** [Makefile:8: third_party/make-env/conda.mk] Error 118:41
tpb<s​f-slack> <timo.callahan> Which symbiflow repo has the wrapper scripts (e.g. symbiflow_route)?   I need to file an issue :)20:11
tpb<s​f-slack> <kgugala> arch-defs20:11
tpb<s​f-slack> <timo.callahan> @kgugala thanks!20:12
-_whitenotifier-5bbc- [symbiflow-arch-defs] tcal-x opened issue #2331: symbiflow_route needs to fail when timing is not met - https://git.io/JMTTB20:50

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!