Tuesday, 2021-04-27

*** tpb has joined #symbiflow00:00
*** gsmecher has quit IRC00:03
*** extorr has joined #symbiflow00:05
*** Degi_ has joined #symbiflow00:25
*** Degi has quit IRC00:29
*** Degi_ is now known as Degi00:29
*** Guest73870 is now known as ric9608:12
*** epony has quit IRC09:40
*** citypw has joined #symbiflow10:20
-_whitenotifier-3- [fpga-interchange-schema] gatecat opened issue #46: Inconsistent use of Text vs StringIdx - https://git.io/JOxkE10:23
*** m_hackerfoo has quit IRC12:29
*** HackerFoo has quit IRC12:30
*** HackerFoo has joined #symbiflow12:44
*** m_hackerfoo has joined #symbiflow12:45
*** m_hackerfoo has quit IRC13:01
*** HackerFoo has quit IRC13:02
*** epony has joined #symbiflow13:15
*** HackerFoo has joined #symbiflow13:20
*** m_hackerfoo has joined #symbiflow13:21
*** rj has joined #symbiflow14:21
*** gromero has joined #symbiflow14:54
-_whitenotifier-3- [python-fpga-interchange] acomodi opened issue #71: Add exclusion cell placements lists - https://git.io/JOhz615:11
*** gsmecher has joined #symbiflow16:02
*** citypw has quit IRC16:16
*** FFY00_ has quit IRC16:19
*** FFY00_ has joined #symbiflow16:20
*** duck25 has joined #symbiflow18:20
*** duck2 has quit IRC18:28
*** ZipCPU_ has joined #symbiflow18:45
*** ZipCPU has quit IRC18:45
*** ZipCPU_ is now known as ZipCPU18:51
*** cr1901_modern1 has joined #symbiflow19:53
*** cr1901_modern has quit IRC19:55
*** cr1901_modern1 has quit IRC20:22
*** cr1901_modern has joined #symbiflow20:22
*** gsmecher has quit IRC21:23
mithroCarlosEDP: It's a plugin, so it is shipped separately from Yosys21:44
mithroCarlosEDP: I think @umarcor|2 has a container for it?21:45
mithroCarlosEDP: the conda packages are produced by the reciepe at https://github.com/hdl/conda-eda/tree/master/syn/symbiflow-yosys-plugins21:45
*** maartenBE has quit IRC21:57
*** maartenBE has joined #symbiflow21:57
*** gsmecher has joined #symbiflow22:30
CarlosEDPAh ok, so umarcor|2  packs it when building the its containers...23:11

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!