Monday, 2021-04-19

*** tpb has joined #symbiflow00:00
*** curtosis has quit IRC00:27
-_whitenotifier-5- [sv-tests] MikePopoloski opened issue #1463: Taiga core is completely busted - https://git.io/JOu7K00:28
*** cjearls has joined #symbiflow00:37
cjearlsI have a Nexys A7 with the XC7A100T-1CSG324C being delivered on Tuesday, is there any way set up to test the fully open-source toolchain easily? I successfully built some of the examples in the symbiflow-arch-defs with VPR, but I'm not very experienced with CMake or Makefiles, so I'm not sure what commands I'd need to take out of that to run on my own projects00:40
*** Degi_ has joined #symbiflow00:44
*** Degi has quit IRC00:45
*** Degi_ is now known as Degi00:45
-_whitenotifier-5- [symbiflow-xc-fasm2bels] the-centry opened issue #59: Running bug? - https://git.io/JOuND01:24
*** gromero has quit IRC01:30
*** cjearls has quit IRC01:46
*** citypw has joined #symbiflow01:56
mithrocjearls: You want to use symbiflow-examples for how to use the tools in your projects.02:14
*** epony has quit IRC02:22
*** curtosis has joined #symbiflow03:03
*** epony has joined #symbiflow03:05
*** curtosis has quit IRC03:18
*** gromero has joined #symbiflow03:30
*** bjorkintosh has joined #symbiflow04:17
*** citypw has quit IRC05:24
*** m_hackerfoo has quit IRC06:35
*** HackerFoo has quit IRC06:36
*** m_hackerfoo has joined #symbiflow06:38
*** HackerFoo has joined #symbiflow06:39
*** citypw has joined #symbiflow06:40
*** daf1 has quit IRC09:59
*** adjtm_ has quit IRC10:35
*** citypw has quit IRC12:48
mithrogatecat: As we have lost litghost and I'mn juggling a lot of things, you'll need to poke me aggressively if you need review on something with the fpga-interchange-schema stuff otherwise it'll probably get missed. The squeaky wheel gets the grease.14:13
gatecatmithro: sure, I've just re-requested review on the default values one, nothing else is critical atm14:15
mithroNormally I try and get something done within 24 hours, you have permission to poke me at least once every 24h for anything you are waiting on14:16
-_whitenotifier-5- [actions] mithro opened issue #22: Consider renaming actions/checks to actions/basic-checks and converting actions/checks to composite action? - https://git.io/JOgXW14:20
*** citypw has joined #symbiflow14:43
sf-slack<cjearls> Thanks!14:51
*** rj has joined #symbiflow14:53
*** adjtm has joined #symbiflow14:55
*** rj has quit IRC15:19
*** rj has joined #symbiflow15:24
*** ASHR has joined #symbiflow15:28
*** rj has quit IRC16:03
sf-slack<dkansagara> Hi i am trying to take my design from VPR(fasm) to vivado, i saw python fpga interchange repository for interchange file generation but i am not sure exactly how it will convert fasm to interchange capncp. i have seen example_netlist.py but it generates the new design rather than taking fasm file as input.  convert.py can covert certain file format(yaml,json) to interchange but not fasm16:08
*** citypw has quit IRC16:08
*** rj has joined #symbiflow16:11
sf-slack<acomodi> Hi @dkansagara. If you have a fasm file generated by VPR you can look at symbiflow-xc-fasm2bels16:16
*** gsmecher has joined #symbiflow16:17
sf-slack<acomodi> It is able to generate FPGA interchange physical and logical netlists which can then be used to generate a dcp (I am assuming here though that it is an xc7 device)16:18
sf-slack<dkansagara> Hi @acomodi i am trying xc-fasm2bels but not sure about one input argument part *connection_database* it takes as input, i have generated xc7 part device database from rapidwright but not sure is it that conncetion_database it expects , or tilegrid connection database16:22
sf-slack<acomodi> The comment there on the connection database argument may lacks to say that, if no connection database is present at the specified path, it is automatically generated16:24
sf-slack<acomodi> So you have sth like `--connection_database <some_path>/xc7a35t.db`16:25
*** ASHR has quit IRC16:41
*** rj has quit IRC16:48
sf-slack<dkansagara> oh okay got it. Thank you @acomodi16:51
*** rj has joined #symbiflow16:51
-_whitenotifier-5- [symbiflow-arch-defs] kkumar23 opened issue #2128: enable CI for the quicklogic testcases added - https://git.io/JO2IK16:52
*** gromero has quit IRC17:05
*** gromero has joined #symbiflow17:05
*** kgugala_ has joined #symbiflow17:09
*** kgugala__ has quit IRC17:10
*** kgugala_ has quit IRC17:16
*** kgugala has joined #symbiflow17:17
*** kgugala has quit IRC17:17
*** kgugala has joined #symbiflow17:18
*** kgugala_ has joined #symbiflow17:19
*** kgugala has quit IRC17:22
*** kgugala has joined #symbiflow17:24
*** kgugala__ has joined #symbiflow17:26
*** kgugala has quit IRC17:26
*** kgugala_ has quit IRC17:27
*** rj has quit IRC17:31
*** rj has joined #symbiflow17:36
*** kgugala has joined #symbiflow17:38
*** kgugala__ has quit IRC17:40
*** rj has quit IRC18:15
*** rj has joined #symbiflow18:18
*** rj has quit IRC18:59
*** rj has joined #symbiflow19:05
sf-slack<timo.callahan> Hi @cjearls, yes, symbiflow-examples should work for you -- install the xc7 tools, then to run the examples --  you'll only need to create a new .xdc file for the Nexys A7 (most likely you can search for one from Digilent then edit if necessary).   And do some small edits in the example-level Makefile for the new TARGET.   Start with counter_test.19:35
*** rj has quit IRC19:44
*** rj has joined #symbiflow19:46
sf-slack<cjearls> @timo.callahan Awesome, thanks for the help! Do symbiflow_synth, symbiflow_pack, symbiflow_place, symbiflow_route, symbiflow_write_fasm, and symbiflow_write_bitstream use other projects like Yosys, nextpnr, or vpr? Is the eventual goal to have xilinx equivalents to nextpnr-ecp5, ecppll, and ecppll?19:53
*** rj has quit IRC20:06
*** rj has joined #symbiflow20:07
tcalcjearls: Yes, they are just wrappers, so I think with some digging you can figure out what the underlying tools are.   Presumably Yosys for synth and pack.   And I think the XC7 tools use vpr (also called vtr, "verilog-to-routing")  for place and route.   The fasm-to-bitstream tool I think is our own.20:10
sf-slack<cjearls> Ok, thanks, that was my understanding20:11
sf-slack<cjearls> I'm still wrapping my head around the project, as there are a lot of moving parts, but I think I'm starting to understand how everything works20:11
tcalCurrently I'm using a new variant of Symbiflow, targeting Lattice Crosslink NX --- it uses Yosys and nextpnr-nexus (which in turn uses project Oxide).20:13
*** rj has quit IRC20:26
*** rj has joined #symbiflow20:32
Loftytcal: I wouldn't call Nexus a Symbiflow project20:33
Lofty*Oxide, targeting Nexus20:33
*** flammit has quit IRC20:37
*** tcal has quit IRC20:38
*** ktemkin has quit IRC20:38
*** gatecat has quit IRC20:38
*** tucanae47 has quit IRC20:38
*** tannewt has quit IRC20:38
*** ric96 has quit IRC20:38
*** tcal has joined #symbiflow20:38
*** gatecat has joined #symbiflow20:38
*** flammit has joined #symbiflow20:38
*** ovf has quit IRC20:38
*** tucanae47 has joined #symbiflow20:38
*** y2kbugger has quit IRC20:38
*** ktemkin has joined #symbiflow20:39
*** ric96 has joined #symbiflow20:39
*** y2kbugger has joined #symbiflow20:40
*** tannewt has joined #symbiflow20:40
*** ovf has joined #symbiflow20:40
tcallofty: Thanks, you're right20:48
*** rj has quit IRC21:12
*** rj has joined #symbiflow21:14
*** rj has quit IRC21:43
*** rj has joined #symbiflow21:48
*** rj has quit IRC22:27
*** rj has joined #symbiflow22:31
mithroLofty: Well my employer (through me) is funding Dave Shah to do Oxide work22:52
LoftyI, uh, probably *wouldn't* deadname someone in public22:53
mithroSorry, did I miss a name change?22:54
Loftygatecat.22:54
sf-slack<cjearls> Is there a reason people use usernames instead of their actual names in the open source FPGA community?22:57
mithroLofty: Oh, I thought it was fpgadave -> gatecat -- didn't realize it was a preferred name change. I apologize if I should no longer be using the other name.22:57
Loftycjearls: the open source FPGA scene relies on a few incredibly load-bearing trans people to keep functioning. Using usernames makes these things less painful.22:59
mithrocjearls: It's pretty common in much of the open source, some people don't like their real name, some people like being more anonymous23:00
LoftyAnd, sure, I have a legal name. But to basically everyone in the scene, my name *is* Lofty, and I much prefer it that way.23:00
mithroI started signing things "Tim 'mithro' Ansell" after it turned out that people didn't associate my open source "mithro" with my real world identity "Tim Ansell"23:01
mithroIt is a general good idea to use whatever people ask you to use....23:02
sf-slack<cjearls> Thanks for letting me know, I wasn't aware23:03
Loftymithro: but yeah, gatecat has gone to noticeable effort to change their username everywhere23:04
gatecatyeah, I still don't mind my old name in private but I'm definitely trying to move on from it in public going forward23:06
mithrogatecat: Okay, very happy to use whatever you prefer where you prefer it.23:06
gatecatthanks!23:07
*** rj has quit IRC23:13
*** rj has joined #symbiflow23:18
*** rj has quit IRC23:59

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!