Monday, 2021-04-12

*** tpb has joined #symbiflow00:00
*** ASHR has quit IRC00:01
*** Degi_ has joined #symbiflow00:55
*** Degi has quit IRC00:57
*** Degi_ is now known as Degi00:57
*** citypw has joined #symbiflow02:38
*** ASHR has joined #symbiflow03:57
*** tux3_ has joined #symbiflow04:13
*** tux3 has quit IRC04:20
*** welterde has quit IRC04:20
*** welterde has joined #symbiflow04:44
*** ASHR has quit IRC06:26
*** citypw has quit IRC09:47
*** epony has quit IRC09:49
*** epony has joined #symbiflow10:17
-_whitenotifier-5- [python-fpga-interchange] acomodi opened issue #61: Invalid DCP when the BUFG route-thru is used - https://git.io/JOtZu11:23
*** kgugala has joined #symbiflow11:24
*** kgugala_ has quit IRC11:28
*** kgugala has quit IRC11:34
*** kgugala has joined #symbiflow11:34
*** kgugala has quit IRC13:55
mithrokrzychuczternasty: No current support or planned support for Intel hardware at the moment. There is a project from Lofty around trying to fix that however.14:02
LoftyWe have Cyclone V bitstream info14:03
LoftyJust not PnR14:03
sf-slack<cjearls> What's the difference between having information about the bitstream and being able to place and route?14:04
*** rj has joined #symbiflow14:05
*** rj has quit IRC14:11
Loftycjearls: bitstream information is "given a bitstream, we can dump the netlist"; place and route is "given a netlist, we can produce a bitstream"14:16
LoftyWhich is a much harder task :P14:16
sf-slack<cjearls> I see. So the documentation to start with is there, but support for the PnR tools is not?14:17
LoftyCorrect14:17
LoftyI was trying to get nextpnr to target Cyclone V, but in general PnR requires climbing up a cliff to get an MVP#14:18
sf-slack<cjearls> What could someone with a Cyclone V do to help the process?14:18
sf-slack<dan.ravensloft> Switching to Slack because I just finished a meeting14:20
sf-slack<dan.ravensloft> You don't even need a Cyclone V to help; I have a fork of nextpnr on my GitHub, and basically you have to implement all the nextpnr core API to get something14:21
sf-slack<dan.ravensloft> For personal reasons I'm not presently considering VPR14:21
sf-slack<dan.ravensloft> We have a library that exposes all the FPGA information - `libmistral`14:22
sf-slack<dan.ravensloft> But there's a bit of impedance matching needed there.14:22
*** rj has joined #symbiflow14:29
*** rj has quit IRC14:35
*** rj has joined #symbiflow14:46
sf-slack<cjearls> So are you going to be adding your changes to upstream nextpnr, or making an Intel-specific tool for PnR?14:53
*** rj has quit IRC15:10
sf-slack<khanvms9> Is Edalize Project Supported on python 3.5?If yes Please checkout this PR15:11
sf-slack<khanvms9> https://github.com/SymbiFlow/edalize/pull/91/commits/80d1eb6e1db5ee40b22cbf7bba1772c26876f35915:11
sf-slack<acomodi> V. M. Shahbaaz Khan: the symbiflow fork of Edalize still needs to be updated to track upstream, and the changes in there upstreamed. I believe that you may push the fix directly to upstream https://github.com/olofk/edalize (if the fix is still valid)15:16
sf-slack<krzychuczternasty> So there's nothing started for cyclone 10 yet? What would be the first step in this direction? Should I try to reverse the cyclone 10 bitstream? Is there an option that it's similar to cyclone V? Note that i'm a bit of a noob in fpga15:27
*** gsmecher has joined #symbiflow15:27
*** citypw has joined #symbiflow15:31
*** ayazar has quit IRC15:33
*** ayazar has joined #symbiflow15:34
*** cr1901_modern has quit IRC16:14
*** cr1901_modern has joined #symbiflow16:20
*** citypw has quit IRC16:25
*** cr1901_modern has quit IRC16:36
*** cr1901_modern has joined #symbiflow16:44
sf-slack<dan.ravensloft> There is the Cyclone 10 LP and the Cyclone 10 GX16:44
sf-slack<dan.ravensloft> These chips are completely different; the 10 GX comes from the Cyclone V, while the 10 LP is more like a Cyclone IV16:45
sf-slack<dan.ravensloft> I'll be aiming to upstream them, yeah16:45
*** kgugala has joined #symbiflow16:59
*** maartenBE has quit IRC17:04
*** maartenBE has joined #symbiflow17:06
sf-slack<krzychuczternasty> I have cyclone 10 LP board and I'd like to have an open toolchain for that. What would you recommend me to start with?17:20
*** ASHR has joined #symbiflow17:32
*** gromero has joined #symbiflow17:44
*** bjorkintosh has joined #symbiflow18:07
*** rj has joined #symbiflow18:15
*** rj has quit IRC18:41
*** curtosis has joined #symbiflow18:50
*** rj has joined #symbiflow18:58
*** ASHR has quit IRC19:14
*** curtosis has quit IRC19:17
*** rj has quit IRC19:28
*** rj has joined #symbiflow19:32
*** curtosis has joined #symbiflow19:33
sf-slack<dan.ravensloft> I'll just use a thread for this. So, you would need to port Yosys first20:08
*** kamyar has joined #symbiflow20:11
*** rj has quit IRC20:13
*** rj has joined #symbiflow20:17
kamyarHi everyone! I just saw the SymbiFlow ideas for GSoC and would be very interested to help with at least one of the proposals. Is it too late to start an application? I've never participated in GSoC and don't honestly know much about it, so greatly appreciate your feedback!20:50
mithrokamyar: Depends on how much time you have to work on an application right now, if you have the time you can possible put something together before the deadline20:52
kamyarmithro unfortunately kinda buried with work duties ATM, but started this proposal (based on the SymbiFlow idea):  https://docs.google.com/document/d/1-5ibWNSMXHXmTx7RLYyQ6hOQLy-QFZeusFjgX6YFxZ0/edit?usp=sharing20:55
tpbTitle: Google Docs: Kostenlos Dokumente online erstellen und bearbeiten (at docs.google.com)20:56
*** ASHR has joined #symbiflow20:59
*** rj has quit IRC21:00
*** rj has joined #symbiflow21:04
*** Jay_jayjay has joined #symbiflow21:17
*** toshywoshy has quit IRC21:18
*** toshywoshy has joined #symbiflow21:20
kamyarmithro Would greatly appreciate your thoughts on that idea!21:21
kamyarI'm not actually quite sure how to move on towards finalizing the proposal, especially with only 20 hours left to the deadline :/21:21
*** rj has quit IRC21:44
*** rj has joined #symbiflow21:47
*** rodrigomelo9 has joined #symbiflow21:52
sf-slack<mehaboob097> Hello Everyone Im an outreachy applicant and id like to work on the cross converter tool21:54
sf-slack<mehaboob097> can anyone guide ke21:54
sf-slack<mehaboob097> me21:54
*** Jay_jayjay has quit IRC22:00
*** rodrigomelo953 has joined #symbiflow22:11
*** rodrigomelo9 has quit IRC22:20
*** rj has quit IRC22:27
*** rj has joined #symbiflow22:31
*** Jay_jayjay has joined #symbiflow22:47
*** curtosis has quit IRC22:56
*** Jay_jayjay has quit IRC23:03
*** rj has quit IRC23:11
*** rj has joined #symbiflow23:15
*** rj has quit IRC23:26
*** Jay_jayjay has joined #symbiflow23:46
*** curtosis has joined #symbiflow23:47
*** curtosis is now known as curtosis[away]23:47

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!