*** tpb has joined #symbiflow | 00:00 | |
*** Tokamak has joined #symbiflow | 00:17 | |
*** citypw has joined #symbiflow | 01:37 | |
*** perillamint has quit IRC | 02:29 | |
*** perillamint has joined #symbiflow | 02:33 | |
*** Degi_ has joined #symbiflow | 03:15 | |
*** Degi has quit IRC | 03:17 | |
*** Degi_ is now known as Degi | 03:17 | |
*** QDX45 has joined #symbiflow | 03:57 | |
*** rvalles_ has joined #symbiflow | 04:00 | |
*** rvalles has quit IRC | 04:00 | |
*** TMM has quit IRC | 05:11 | |
*** TMM has joined #symbiflow | 05:11 | |
*** _whitelogger has quit IRC | 05:36 | |
*** _whitelogger has joined #symbiflow | 05:38 | |
*** Tokamak has quit IRC | 06:24 | |
*** Tokamak has joined #symbiflow | 06:44 | |
*** kraiskil has joined #symbiflow | 07:21 | |
*** QDX45 has quit IRC | 07:23 | |
*** smkz has quit IRC | 07:24 | |
*** smkz has joined #symbiflow | 07:24 | |
*** kraiskil has quit IRC | 08:06 | |
*** craigo has quit IRC | 08:46 | |
*** Tokamak has quit IRC | 09:02 | |
*** citypw has quit IRC | 09:07 | |
*** citypw has joined #symbiflow | 11:38 | |
*** citypw has quit IRC | 11:51 | |
*** citypw has joined #symbiflow | 11:56 | |
*** kgugala has quit IRC | 12:28 | |
*** gromero has joined #symbiflow | 13:14 | |
*** gromero_ has quit IRC | 13:15 | |
lambda | I must be doing something wrong, because while my microcontroller works almost perfectly, a test design that simply loops the arty's switch inputs back to the LEDs is completely broken | 13:33 |
---|---|---|
sf-slack4 | <kgugala> do you have the desing published anywhere? | 13:44 |
sf-slack4 | <kgugala> with makefiles (and possibly with build output) | 13:45 |
*** citypw has quit IRC | 13:45 | |
lambda | it's not much of a design, here's the .il: https://misc.xiretza.xyz/repro/test.il | 13:46 |
lambda | with just the \switches and \leds wires, it works, but the added \other wire causes 3 of the LEDs to be constantly powered | 13:46 |
lambda | maybe it's just my FPGA, here's the bitstream in case anyone with an arty a7-35t wants to test: https://misc.xiretza.xyz/repro/test.bit | 13:47 |
sf-slack4 | <kgugala> do you have the whole design? I mean verilog/pcf/makefiles | 13:48 |
sf-slack4 | <kgugala> + logs? | 13:48 |
lambda | I'll pack it up, hold on | 13:48 |
*** tux3 has quit IRC | 13:57 | |
*** tux3 has joined #symbiflow | 13:58 | |
*** tux3 has joined #symbiflow | 13:59 | |
lambda | kgugala: https://misc.xiretza.xyz/repro/reproduce.tar.gz | 14:01 |
sf-slack4 | <kgugala> thanks | 14:01 |
lambda | this is 95% my fault either because I broke my hardware or because I broke the toolchain installation in new creative ways | 14:01 |
*** kgugala has joined #symbiflow | 14:37 | |
*** tux3 has quit IRC | 14:47 | |
*** tux3 has joined #symbiflow | 14:47 | |
*** tux3 has quit IRC | 14:50 | |
*** tux3 has joined #symbiflow | 14:50 | |
*** tux3 has quit IRC | 15:02 | |
*** kraiskil has joined #symbiflow | 15:07 | |
*** tux3 has joined #symbiflow | 15:07 | |
*** tux3 has quit IRC | 15:08 | |
*** tux3 has joined #symbiflow | 15:09 | |
*** tux3 has joined #symbiflow | 15:09 | |
*** kraiskil has quit IRC | 15:20 | |
*** acomodi has joined #symbiflow | 16:01 | |
*** kraiskil has joined #symbiflow | 16:05 | |
*** kraiskil has quit IRC | 16:10 | |
*** kraiskil has joined #symbiflow | 16:23 | |
*** kraiskil has quit IRC | 16:37 | |
litghost | Lofty: What's up | 16:43 |
Lofty | I got a reply from Alan Mishchenko; "look but don't touch" is actually a situation that ABC9 supports | 16:44 |
litghost | Ah, very good | 16:44 |
Lofty | So I suspect it might be a case of waiting for Eddie to get back to ABC9 development to plumb in support on the Yosys side | 16:45 |
litghost | Ok | 16:45 |
Lofty | The email from Alan was, uh, concise | 16:45 |
Lofty | So I have no idea how to do it myself | 16:45 |
litghost | heh | 16:46 |
*** Degi has quit IRC | 16:50 | |
*** Degi has joined #symbiflow | 16:51 | |
litghost | I think plumbing this feature from ABC9 up to yosys seems like a good feature to add in the general case, and once that feature is available, we can update the CARRY4_VPR annotation with the right attribute | 16:53 |
sf-slack4 | <kgugala> @lambda I see you're feeding yosys directly with rtlil - TBH I never tested the flow with this | 16:56 |
sf-slack4 | <kgugala> not sure if xdc plugin works with that one | 16:56 |
sf-slack4 | <kgugala> you can try providing sdc directly to VPR | 16:56 |
litghost | XDC pluging should work as expect, because it operates directly on the RTLIL representation | 16:57 |
litghost | If it doesn't work, we should probably add a test and fix it, because there should be no differences to the plugin if different frontends are used | 16:57 |
sf-slack4 | <kgugala> mhm | 16:58 |
sf-slack4 | <kgugala> it seems it does work - I see LOCs in eblif | 16:59 |
*** kgugala has quit IRC | 17:01 | |
*** epony has quit IRC | 17:01 | |
*** microcolonel has quit IRC | 17:01 | |
*** m_hackerfoo has quit IRC | 17:01 | |
*** HackerFoo has quit IRC | 17:01 | |
*** yeti has quit IRC | 17:01 | |
*** Tokamak has joined #symbiflow | 17:03 | |
*** kgugala has joined #symbiflow | 17:06 | |
*** microcolonel has joined #symbiflow | 17:06 | |
*** m_hackerfoo has joined #symbiflow | 17:06 | |
*** HackerFoo has joined #symbiflow | 17:06 | |
*** yeti has joined #symbiflow | 17:06 | |
-_whitenotifier-5- [symbiflow-arch-defs] litghost opened issue #2068: Once yosys supports "look, don't touch" add annotation to CARRY4_VPR - https://git.io/JqvYI | 17:09 | |
*** craigo has joined #symbiflow | 17:17 | |
*** donjr2d has joined #symbiflow | 17:24 | |
*** donjr2d has left #symbiflow | 17:34 | |
*** TMM has quit IRC | 17:34 | |
*** TMM has joined #symbiflow | 17:34 | |
lambda | kgugala: the sources for my actual bigger design contain vhdl, which I can't just feed to symbiflow_synth, so I bundle them all together in a separate yosys run, export the ilang and pass that to synth | 18:27 |
lambda | though I agree it'd be very surprising if yosys cared about the input file format | 18:28 |
lambda | kgugala: do you have an arty to confirm that (1) the bitstream you built works and (2) my bitstream doesn't? alternatively, could you send me your bitstream so I can try to test (1)? | 18:45 |
litghost | lambda: Couple things | 18:47 |
litghost | lambda: Did you check the timing analysis from VPR? | 18:47 |
sf-slack4 | <kgugala> @litghost this is a simple design connecting inputs to outputs | 18:48 |
lambda | yeah, there aren't even any clocks | 18:48 |
sf-slack4 | <kgugala> I don't think timings are any problem here | 18:48 |
litghost | Ah, ya that should work fine | 18:48 |
litghost | As a sanity check, take a look at the blif and make sure you I/OBUFs are on the right sites | 18:48 |
litghost | Either way, given that this is just a wire design, use xc fasm2bels to run bitstream back into Vivado (or just the verilog) and make sure things look right | 18:49 |
litghost | Given that it is a wire design, just looking at the fasm2bels output verilog should be enough to debug if something is grossly wrong | 18:49 |
lambda | will do | 18:51 |
litghost | The recommended path here is to use the bitstream to generate the FASM and then run that FASM back into verilog and/or FPGA interchange | 18:52 |
litghost | Both outputs from fasm2bels can be feed into Vivado for simulation and/or timing analysis as needed | 18:52 |
litghost | The FPGA interchange outputs are generally faster, but the verilog outputs are easier to hand verify | 18:53 |
lambda | what can I use for bit->fasm conversion? | 18:57 |
sf-slack4 | <kgugala> fasm2bels can eat bit file | 18:59 |
litghost | Right | 18:59 |
sf-slack4 | <kgugala> you just need to point it to bitread binary | 18:59 |
sf-slack4 | <kgugala> bitread is a part of prjxray | 18:59 |
sf-slack4 | <kgugala> @litghost @lambda there is sth wrong with the bistream | 19:25 |
sf-slack4 | <kgugala> I ran it throgh fasm2bels | 19:25 |
sf-slack4 | <kgugala> and only switches[0] landed where it should | 19:25 |
*** kraiskil has joined #symbiflow | 19:26 | |
sf-slack4 | <kgugala> switches[1] is constrained to C11 which should place it in LIOB33_X0Y123, but it landed in LIOB33_X0Y25 | 19:27 |
sf-slack4 | <kgugala> sorry, it landed in LIOB33_X0Y75 (still incorrect) | 19:28 |
lambda | constraints.place contains this line for it: `switches[1] 2 28 0 # set_property LOC C11 [get_ports {switches[1]}]` | 19:29 |
*** craigo has quit IRC | 19:29 | |
litghost | kgugala/lambda: If I were to guess, this is a package mismatch? | 19:31 |
lambda | very possible | 19:31 |
litghost | kgugala/lambda: If the wrong package data is used, then the package pin -> site map will be wrong | 19:31 |
sf-slack4 | <kgugala> yep, that is my first guess | 19:33 |
sf-slack4 | <kgugala> @lambda, can you check if the package is correct? | 19:33 |
lambda | chip is XC7A35T/CSG324, which I assume corresponds to PART=xc7a35tcsg324-1 | 19:37 |
*** craigo has joined #symbiflow | 19:37 | |
litghost | Yes | 19:38 |
litghost | lambda: The PAD -> loc conversion happens here: https://github.com/SymbiFlow/symbiflow-arch-defs/blob/098fe2e8e724bca3902b8121fb254caaed7cb5d3/xc/xc7/toolchain_wrappers/symbiflow_generate_constraints#L27 | 19:43 |
litghost | Which is typically invoked from symbiflow_place | 19:43 |
litghost | https://github.com/SymbiFlow/symbiflow-arch-defs/blob/098fe2e8e724bca3902b8121fb254caaed7cb5d3/xc/common/utils/prjxray_create_ioplace.py#L75-L89 | 19:44 |
cr1901_modern | Does the testarch come w/ any tests I can run (for just playing around)? | 19:46 |
litghost | In arch-defs? | 19:47 |
cr1901_modern | Yes | 19:47 |
litghost | Ya, it just runs some really simple P&R tasks | 19:47 |
litghost | The GH actions CI runs them | 19:47 |
litghost | One second | 19:47 |
cr1901_modern | I'd like some targets for ninja | 19:47 |
cr1901_modern | define_arch is a little less overwhelming for the testarch | 19:47 |
litghost | 6-rot_dummy_testarch_4x4_dummy_route I think would work? | 19:49 |
litghost | ninja has bash completion, so try "ninja 6-rot_dummy_testarch_4x4_dummy<TAB>" | 19:49 |
cr1901_modern | Interesting... it doesn't on my machine | 19:50 |
cr1901_modern | Ahhh that's because I built it from source and never added the file | 19:50 |
cr1901_modern | to my .bashrc | 19:50 |
litghost | Ya "6-rot_dummy_testarch_4x4_dummy_route" will work | 19:51 |
cr1901_modern | Awesome | 19:52 |
cr1901_modern | "6-rot_dummy_testarch_4x4_dummy" by itself claims "no work to do", but the "_route" suffix works | 19:52 |
cr1901_modern | I recently completed a nextpnr backend for machxo2. Moving on to symbiflow... I have a decent chunk of the CMakeLists.txt set up, but I'm still learning | 19:53 |
cr1901_modern | things such as "why does yosys need to be invoked w/ special tcl scripts"? | 19:54 |
litghost | VPR does need a little more hand holding than nextpnr, and some of that lives in the tcl scripts | 19:54 |
litghost | It really depends on the complexity of the arch | 19:55 |
lambda | kgugala: C11 should be IOB_X0Y124, right? | 20:02 |
litghost | lambda: https://github.com/SymbiFlow/prjxray-db/blob/master/artix7/xc7a35tcsg324-1/package_pins.csv | 20:04 |
litghost | FYI | 20:04 |
sf-slack4 | <kgugala> yep and C11 is here https://github.com/SymbiFlow/prjxray-db/blob/master/artix7/xc7a35tcsg324-1/package_pins.csv#L39 | 20:04 |
lambda | alright, that seems to match up with my arch-defs pinmap.csv, as long as x=2,y=28 is also correct (can't find those kind of coordinates anywhere else) | 20:06 |
litghost | The pinmap.csv is per part, and is a translation of the prjxray-db file | 20:07 |
litghost | So should be right, unless something terrible has happened with the build system | 20:07 |
lambda | I wonder where it goes wrong then, XDC contains C11, .ioplace contains x=2,y=28 which should be equivalent, but then the bitstream/fasm contains something else | 20:09 |
lambda | oh, test.place has x=2,y=79 for some reason | 20:10 |
lambda | vpr bug I guess, it's just ignoring the constraints and making up its own placement | 20:38 |
litghost | Are you regenerating the place file after you create the placement? | 20:39 |
litghost | *packing | 20:39 |
litghost | Question, are you using the wrapper scripts? | 20:40 |
lambda | I am | 20:40 |
lambda | see the makefile here: https://misc.xiretza.xyz/repro/reproduce.tar.gz | 20:40 |
litghost | So you are just doing symbiflow_pack then symbiflow_place? | 20:40 |
lambda | yes | 20:40 |
litghost | In that file, I don't see the pack or place result? | 20:43 |
litghost | Which VPR are you using? | 20:45 |
litghost | conda or local build? | 20:46 |
lambda | ah damn, they got eaten by make, sec | 20:46 |
lambda | local build | 20:46 |
lambda | 8.1.0-dev+4acad0fb5-dirty | 20:46 |
litghost | If you use the VPR from conda, does it work as expected? | 20:46 |
lambda | I haven't been able to get conda to work | 20:47 |
cr1901_modern | litghost: Thanks for the info. Follow-up... why is BLIF required, and backends that emit BLIF for VPR require special options. Do you understand what they are for? | 20:48 |
* cr1901_modern is not all that familiar w/ BLIF as opposed to the JSON output format | 20:48 | |
litghost | VPR uses BLIF as it's input format, that's all | 20:48 |
cr1901_modern | And unless certain options are passed, VPR will choke on yosys' output BLIF? | 20:49 |
litghost | eblif adds some quality of life features, specifically around parameters on sub-circuits | 20:49 |
litghost | I believe we use yosys's BLIF output | 20:49 |
cr1901_modern | You do, but... | 20:49 |
* cr1901_modern grabs a link brb | 20:49 | |
cr1901_modern | https://github.com/YosysHQ/yosys/blob/master/techlibs/machxo2/synth_machxo2.cc#L220-L225 I added this snippet based on other backends | 20:50 |
cr1901_modern | but I don't actually know what I'm doing lmao | 20:50 |
litghost | So couple things | 20:51 |
litghost | VPR requires that constants be attached to a source, unless the graph only has LUTs as constant sources | 20:51 |
litghost | The "cname" thing is just for QoL | 20:52 |
litghost | If you don't use cname, VPR will invent it's own name for subcircuits, which complicates debugging | 20:52 |
litghost | attr attributes are just for information | 20:53 |
litghost | param attributes are useful if you plan to use the VPR's genfasm to directly emit FASM | 20:53 |
*** kraiskil has quit IRC | 20:53 | |
cr1901_modern | >VPR requires that constants be attached to a source, is this what -conn does? | 20:54 |
litghost | I actually don't remember what "-conn" does | 20:54 |
litghost | The VCC/GND stuff here is what I'm talking about | 20:54 |
cr1901_modern | Which part of what I highlighted handles that? | 20:54 |
litghost | https://github.com/SymbiFlow/symbiflow-arch-defs/blob/098fe2e8e724bca3902b8121fb254caaed7cb5d3/xc/xc7/yosys/conv.tcl#L15-L17 | 20:54 |
cr1901_modern | Presumably the "opt -purge" is just to make VPR's job easier | 20:55 |
litghost | I have little experience with "opt -purge"" | 20:55 |
litghost | The xc7 TCL scripts are here https://github.com/SymbiFlow/symbiflow-arch-defs/blob/master/xc/xc7/yosys/synth.tcl and here https://github.com/SymbiFlow/symbiflow-arch-defs/blob/master/xc/xc7/yosys/conv.tcl#L15-L17 | 20:56 |
cr1901_modern | I'm pretty sure I copied it from the ECP5 backend | 20:56 |
litghost | I don't I've seen an attempt at doing ECP5 on VPR | 20:56 |
litghost | But that doesn't mean it wasn't tried | 20:56 |
cr1901_modern | Yea, I copied it: https://github.com/YosysHQ/yosys/blob/master/techlibs/ecp5/synth_ecp5.cc#L390-L405 | 20:57 |
cr1901_modern | cc: gatecat Do you remember why BLIF generation is different between VPR and non-VPR mode? | 20:57 |
litghost | I don't think ECP5 is the arch to use as an example here | 20:57 |
litghost | xc7 would be the example to look at | 20:57 |
* cr1901_modern unfortunately knows little about series-7, but noted | 20:58 | |
gatecat | I last looked at this 3 years ago but I think the difference was originally for ice40 | 20:58 |
gatecat | arachne needed SB_LUT4 cells | 20:58 |
gatecat | VPR needed BLIF .names | 20:58 |
gatecat | There might be differences around some of the eBLIF extensions too | 20:58 |
litghost | The ice40 VPR backend in arch defs is fairly close to the xc7 invocation https://github.com/SymbiFlow/symbiflow-arch-defs/blob/master/ice40/yosys/conv.tcl and https://github.com/SymbiFlow/symbiflow-arch-defs/blob/master/ice40/yosys/synth.tcl | 21:00 |
litghost | But it is worth noting that the ice40 VPR backend hasn't been actively developed in a long while | 21:00 |
litghost | We run the tests to make sure it hasn't exploded, but I don't believe it has been tested on hardware in months/years | 21:00 |
cr1901_modern | Hmm, I might get rid of the distinction between vpr and not-vpr mode at some point | 21:01 |
litghost | Ya, it's not clear at this point why it's there | 21:01 |
cr1901_modern | I legitimately did it because the ECP5 backend did it | 21:02 |
cr1901_modern | Anyways, all those options are non-standard according to yosys help | 21:03 |
cr1901_modern | anyways, for the record, "-conn" means "do not generate buffers for connected wires. instead use the non-standard .conn statement." | 21:04 |
cr1901_modern | Idk enough about BLIF yet to understand what that means; the tcl scripts in symbiflow don't use it | 21:04 |
litghost | We don't use that for the xc7 VPR flow | 21:04 |
litghost | Instead we ask VPR to absorb LUT buffers | 21:04 |
litghost | We have had bugs around absorbing of LUT buffers in the past, so I could see that being a thing years ago | 21:05 |
litghost | But we rely on it in the current flows | 21:05 |
cr1901_modern | absorb? You mean "turn a 01010101010101... etc LUT into a straight-through connection"? | 21:05 |
litghost | No | 21:05 |
litghost | Whenver a net is renamed (e.g. cross a module boundry) it gets a simple 1 input LUT declaration | 21:06 |
litghost | One second I'll find an example | 21:06 |
gatecat | I think VPR mode only makes sense for iCE40 where there are hypothetically two blif consuming flows - arachne and vpr - even if neither flow is actively used | 21:06 |
gatecat | For everything else blif essentially implies vpr | 21:07 |
lambda | litghost: here's all the files btw https://misc.xiretza.xyz/repro/reproduce2.tar.gz | 21:07 |
litghost | .names ser_rx scalable_proc.uart.ser_rx | 21:07 |
litghost | 1 1 | 21:07 |
litghost | .names ser_tx scalable_proc.uart.ser_tx | 21:07 |
litghost | 1 1 | 21:07 |
litghost | If you don't have LUT absortion on, VPR will actually try to place those LUTs and consume resources | 21:08 |
lambda | test.ioplace has the correct x/y, as does constraints.place, but test.place is wrong | 21:08 |
litghost | lambda: For testing, just manually fix test.place and run the rest of the flow | 21:08 |
litghost | lambda: I suspect it will resolve the issue for now | 21:09 |
litghost | lambda: The question is why did VPR not respect the constraint | 21:09 |
litghost | There has been upstream working around partitioning and there might be a regression | 21:09 |
cr1901_modern | litghost: Cool, thanks for the example. I'll play w/ BLIF a bit in one of my toy design | 21:10 |
cr1901_modern | s* | 21:10 |
litghost | lambda: Ya, switches[3:1] are messed up | 21:10 |
litghost | lambda: I believe you have a reproducable test case | 21:10 |
litghost | File a bug on upstream VPR and @sfkhalid, they were in that code recently | 21:11 |
lambda | will do, thanks for all the help | 21:12 |
litghost | Would you be willing to compile at b0223dc59? | 21:12 |
lambda | sure | 21:12 |
litghost | That is what symbiflow examples is currently at | 21:12 |
litghost | And I believe the bug won't be there | 21:12 |
litghost | But who knows | 21:12 |
cr1901_modern | conv.tcl runs straight after synth.tcl? | 21:23 |
cr1901_modern | (Looking at conv.tcl, it looks like a fragment of a larger script) | 21:24 |
cr1901_modern | for both the xc7 and ice40 backends | 21:24 |
*** phire has quit IRC | 21:25 | |
*** phire has joined #symbiflow | 21:26 | |
litghost | So between synth.tcl and conv.tcl is an IO splitter script to handle differential IO | 21:26 |
litghost | If you are only doing single ended IO, this doesn't matter | 21:27 |
litghost | One minute | 21:27 |
cr1901_modern | Differential I/O is not currently supported by the yosys backend for machxo2 | 21:27 |
litghost | https://github.com/SymbiFlow/symbiflow-arch-defs/blob/098fe2e8e724bca3902b8121fb254caaed7cb5d3/xc/xc7/toolchain_wrappers/symbiflow_synth#L108-L110 | 21:28 |
litghost | Sorry I mispoke | 21:28 |
litghost | It's about IO buffers | 21:28 |
litghost | https://github.com/SymbiFlow/symbiflow-arch-defs/blob/098fe2e8e724bca3902b8121fb254caaed7cb5d3/utils/split_inouts.py#L2 | 21:28 |
cr1901_modern | Okay, cool. Does conv stand for "convert" (bikeshed)? | 21:29 |
cr1901_modern | synth for "synthesis" | 21:29 |
litghost | Yep | 21:29 |
cr1901_modern | Ahh I see, so the flow is "synthesize normally w/ VPR-specific tailoring to JSON", "operate on the JSON as needed" (the I/O buffers), then "convert the JSON to BLIF". | 21:30 |
litghost | That is the current flow | 21:31 |
cr1901_modern | (Where is utils.tcl imported?) | 21:31 |
litghost | Not idea, but it does work | 21:31 |
litghost | ideal* | 21:31 |
cr1901_modern | Not ideal is fine. I just need to understand it :P | 21:31 |
*** sf-slack4 has quit IRC | 21:33 | |
*** rejser has quit IRC | 21:33 | |
*** daf1 has quit IRC | 21:33 | |
*** sf-slack4 has joined #symbiflow | 21:34 | |
*** rejser has joined #symbiflow | 21:34 | |
*** daf1 has joined #symbiflow | 21:34 | |
cr1901_modern | AFAICT, the CMake test targets don't actually use the symbiflow_synth script, but use define_arch() to call the relevant scripts directly? | 21:38 |
litghost | So the CMake system pre-exists the scripts | 21:39 |
litghost | One of the cleanup steps that is being doing will be to change the scripts to be arch indepedent, and to use them in the CMake invocation | 21:39 |
cr1901_modern | ahhh that's cool | 21:40 |
litghost | https://github.com/SymbiFlow/symbiflow-arch-defs/blob/098fe2e8e724bca3902b8121fb254caaed7cb5d3/common/cmake/devices.cmake#L1406-L1415 | 21:40 |
*** Tokamak has quit IRC | 21:40 | |
litghost | The CMake invocation is here | 21:40 |
cr1901_modern | https://github.com/SymbiFlow/symbiflow-arch-defs/blob/098fe2e8e724bca3902b8121fb254caaed7cb5d3/common/cmake/devices.cmake#L1385-L1399 Oh would you look at that, the CMake invocation almost matches the symbiflow_synth script | 21:41 |
cr1901_modern | what a coincidence :o | 21:41 |
cr1901_modern | litghost: Thanks for the help. I think that's all the qs I have immediately. Talking to someone was immensely helpful compared to trying to figure it out on my own :P | 21:44 |
litghost | Frankly there oaugth to be comments around this stuff | 21:44 |
litghost | We would happily accept some PR's with any comments/notes around here | 21:45 |
cr1901_modern | I have my own set of dev notes. I can add to them this weekend using this chat as a guide | 21:45 |
cr1901_modern | http://ix.io/2RAA | 21:45 |
cr1901_modern | These notes are mildly out of date, because I started adding primitives, but they're only muxes. So not much to talk about. | 21:47 |
litghost | right | 21:48 |
cr1901_modern | "Step 4." would be to add the yosys scripts, "Step 5." implement define_arch. And go from there | 21:48 |
*** Tokamak has joined #symbiflow | 22:07 | |
*** acomodi has quit IRC | 22:11 | |
*** Raito_Bezarius has joined #symbiflow | 23:11 | |
*** craigo has quit IRC | 23:38 |
Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!