Monday, 2021-03-01

*** tpb has joined #symbiflow00:00
*** TMM has quit IRC01:14
*** TMM has joined #symbiflow01:14
*** citypw has joined #symbiflow01:44
*** Degi_ has joined #symbiflow03:20
*** Degi has quit IRC03:21
*** Degi_ is now known as Degi03:21
*** bjorkintosh has joined #symbiflow04:40
*** citypw has quit IRC04:53
*** citypw has joined #symbiflow06:36
*** craigo has quit IRC07:19
*** citypw has quit IRC07:20
*** craigo has joined #symbiflow08:39
*** citypw has joined #symbiflow09:38
*** citypw has quit IRC15:11
*** rj has quit IRC15:56
*** Tokamak has joined #symbiflow17:05
*** join_subline has quit IRC17:12
*** craigo has quit IRC17:39
*** Tokamak has quit IRC17:54
*** rj has joined #symbiflow18:00
*** Tokamak has joined #symbiflow18:07
*** kraiskil has joined #symbiflow18:08
*** TMM has quit IRC18:12
*** TMM has joined #symbiflow18:12
*** rj has quit IRC18:21
*** rj has joined #symbiflow18:26
*** epony has quit IRC18:34
*** epony has joined #symbiflow18:37
*** ovf has quit IRC18:44
*** ovf has joined #symbiflow18:46
Loftyping kgugala, arvindsrinivasan18:49
sf-slack4<arvindsrinivasan> hi18:49
sf-slack4<kgugala> yep?18:49
LoftyWould you be willing to test an alternate fix for the ABC9 assert?18:49
sf-slack4<kgugala> sure18:50
-_whitenotifier-5- [sphinx-verilog-domain] mithro opened issue #25: Relicense the project to Apache 2.0 - https://git.io/JtpAt18:50
Loftyhttps://gist.github.com/Ravenslofty/00809d98925cdaf1bc21a8312674bc3b18:50
LoftyYou'll have to apply it "manually"18:50
LoftyI think this is a case of "terrible error message" on the part of ABC918:51
*** rj has quit IRC18:51
LoftyHang on, let me find what the file is on GitHub18:53
Loftyhttps://github.com/SymbiFlow/symbiflow-arch-defs/blob/master/xc/xc7/techmap/cells_sim.v#L8818:54
LoftyThis should be lib_whitebox, I believe18:54
*** rj has joined #symbiflow18:55
sf-slack4<kgugala> seems to be helping18:55
sf-slack4<kgugala> at least in sythesis18:56
LoftyThis passes synthesis for me18:56
sf-slack4<kgugala> @Lofty can you open a PR so the CI can run the whole flow with it?18:56
LoftyWill do19:00
sf-slack4<kgugala> thanks19:03
*** kraiskil has quit IRC19:05
*** kraiskil has joined #symbiflow19:12
-_whitenotifier-5- [sphinx-verilog-domain] mithro opened issue #27: Change from Travis to GitHub Actions - https://git.io/JtppH19:13
mithrolitghost: BTW You might be interested in https://github.com/marketplace/actions/validate-yaml-schema and https://github.com/marketplace/actions/yaml-schema-validator19:16
litghostThere is also https://pypi.org/project/yamllint/19:17
tpbTitle: yamllint · PyPI (at pypi.org)19:17
litghostWhich is easy to run locally19:17
mithrohttps://github.com/marketplace/actions/yaml-schema-validator seems kind of interesting from the fact it seems to use the same settings as VSCode19:19
*** rj has quit IRC19:21
*** rj has joined #symbiflow19:23
sf-slack4<arvindsrinivasan> @lofty do you still want me to test that change?19:42
sf-slack4<arvindsrinivasan> Whiich ABC assert do you want me to comment back in? the empty cell ones?19:43
LoftyYes19:46
sf-slack4<arvindsrinivasan> Alright fixing now19:51
*** rj has quit IRC20:07
*** rj has joined #symbiflow20:08
Loftylitghost: I think fundamentally the problem we have here is that boxes are implicitly sweepable.20:13
litghostI guess to put this another context, what do you do about things like CFGLUT5?  Because of what CFGLUT5 is (re-configurable LUT) it is not legal to sweep it, but if that CFGLUT5 is part of a logic chain, you would want to be able to do path analysis through the element20:15
LoftyI suspect this is might need support adding to ABC [the program] for this kind of thing20:18
LoftyWell, the other (obviously suboptimal) approach is to not invoke the ABC9 sweeper20:19
*** kgugala has quit IRC20:19
*** kgugala has joined #symbiflow20:19
LoftyMight be time to write an email to Alan, too20:19
LoftyWell, email to Alan sent, let's see how that goes20:31
*** join_subline has joined #symbiflow20:31
*** rj has quit IRC20:36
*** rj has joined #symbiflow20:38
sf-slack4<arvindsrinivasan> So on my system I pass synthesis but fail alter on20:40
sf-slack4<arvindsrinivasan> ```/home/arvindsrinivasan/opt/symbiflow/xc7/install/bin/vpr_common: line 94: 16262 Bus error               (core dumped) vpr ${ARCH_DEF} ${EBLIF} --device ${DEVICE_NAME} ${VPR_OPTIONS} --read_rr_graph ${RR_GRAPH} --read_router_lookahead ${LOOKAHEAD} --read_placement_delay_lookup ${PLACE_DELAY} ${SDC_OPTIONS} $@```20:42
sf-slack4<arvindsrinivasan> @kgugala did you get the same error?20:42
sf-slack4<kgugala> I just checked synthesis (and waiting for CI here https://github.com/SymbiFlow/symbiflow-arch-defs/pull/2061 tot test the rest)20:44
sf-slack4<kgugala> and it looks CI failed there20:45
*** Tokamak has quit IRC20:46
*** Tokamak has joined #symbiflow20:47
*** Tokamak_ has joined #symbiflow20:48
*** kraiskil has quit IRC20:48
*** Tokamak has quit IRC20:51
*** Tokamak_ has quit IRC20:58
*** Tokamak has joined #symbiflow21:04
*** rj has quit IRC21:06
*** rj has joined #symbiflow21:09
*** rj has quit IRC21:35
*** rj has joined #symbiflow21:39
-_whitenotifier-5- [nextpnr] litghost opened issue #238: Need to stand up MSAN / ASAN / TSAN test runs - https://git.io/JthYt21:54
*** craigo has joined #symbiflow21:57
*** rj has quit IRC22:05
-_whitenotifier-5- [RapidWright] litghost opened issue #1: Largest fabrics consume all memory and die when writing device database - https://git.io/JthOO22:06
sf-slack4<arvindsrinivasan> @lofty any suggestions for other stuff to test to see if we can get a fix?22:26
LoftyWell, fixing this particular case is easy; fixing the problem in general is hard22:27
*** rj has joined #symbiflow22:39
sf-slack4<arvindsrinivasan> Alright for now, I guess the easy answer is to comment out the asserts23:00
*** rj has quit IRC23:05
*** rj has joined #symbiflow23:09
mithrohttps://byu-cpe.github.io/ComputingBootCamp/ <-- "This bootcamp serves two purposes in terms of topical content. The second is FPGA-specific topics such as Tcl/Vivado and prjxray."23:19
tpbTitle: Home • Immerse Computing Bootcamp (at byu-cpe.github.io)23:19
*** rj has quit IRC23:35
*** rj has joined #symbiflow23:38

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!