Friday, 2021-02-05

*** tpb has joined #symbiflow00:00
*** rj has quit IRC00:01
*** rj has joined #symbiflow00:03
-_whitenotifier- [prjxray] cederom opened issue #1579: xc7s15 / Spartan Edge Accelerator Board - https://git.io/JtgYZ01:06
sf-slack<godtec> Hello Hello!01:10
sf-slack<godtec> M Kennedy here, Looking for info on certain boards..  images attached.01:11
sf-slack<godtec> They were bought in Online Auction. Basically NO documentation, the first image show 8 pads in a group, thinking that this is the jtag port. will have to see and probe. The only high speed connection that we can see, is the ribbon cable that has some high speed lines to the FPGA. We have a several boards, looks like it's meant for an Automotive applications. IF interested in getting a board, please message me. will01:17
sf-slackship. Thanks! Mike K01:17
*** _whitelogger has quit IRC01:33
*** _whitelogger has joined #symbiflow01:35
*** _whitelogger has quit IRC01:42
*** _whitelogger has joined #symbiflow01:44
*** rj has quit IRC01:54
*** rj has joined #symbiflow01:57
*** citypw has joined #symbiflow02:05
*** rj has quit IRC03:11
*** Degi_ has joined #symbiflow03:27
*** Degi has quit IRC03:29
*** Degi_ is now known as Degi03:29
*** kgugala_ has joined #symbiflow05:14
*** kgugala has quit IRC05:14
*** kgugala_ has quit IRC05:20
*** kgugala has joined #symbiflow05:20
*** citypw has quit IRC05:27
*** kgugala has quit IRC05:32
*** kgugala has joined #symbiflow05:44
*** kgugala_ has joined #symbiflow05:47
-_whitenotifier- [fasm] mithro opened issue #47: Antlr build fails on Windows with error about MSBUILD not supporting -j - https://git.io/Jtg8U05:47
*** kgugala has quit IRC05:49
*** infinite_recursi has joined #symbiflow06:23
*** kgugala has joined #symbiflow07:16
*** kgugala_ has quit IRC07:18
*** ASHR has quit IRC07:24
*** titanbiscuit has quit IRC07:53
*** titanbiscuit has joined #symbiflow07:58
*** titanbiscuit has quit IRC08:30
*** kgugala_ has joined #symbiflow08:37
*** kgugala has quit IRC08:38
*** kgugala has joined #symbiflow08:38
*** kgugala_ has quit IRC08:41
*** abeljj[m] has quit IRC09:00
*** citypw has joined #symbiflow09:15
*** infinite_recursi has joined #symbiflow10:10
*** infinite_recursi has quit IRC10:12
*** flying_turtle has quit IRC13:02
*** flying_turtle has joined #symbiflow13:04
*** rj has joined #symbiflow13:13
*** SmutLord^ has quit IRC13:38
*** SmutLord^ has joined #symbiflow13:38
*** ASHR has joined #symbiflow15:43
*** citypw has quit IRC15:47
*** kgugala_ has joined #symbiflow15:52
*** kgugala has quit IRC15:53
*** kgugala_ has quit IRC15:54
*** kgugala has joined #symbiflow15:55
sf-slack<arvindsrinivasan> This might be a very dumb request and I apologize if so, but would it be possible for the `swig`  package to be put as a dependency since its needed to build rapidyaml. If I understand correctly, it should be put in this file https://github.com/SymbiFlow/symbiflow-arch-defs/blob/master/environment.yml)?16:18
litghostIf you submit a PR that does that, we would happily accept it16:20
litghostWe are also working on getting packages into PyPi, which will lower the pain16:21
sf-slack<arvindsrinivasan> Can do!16:21
sf-slack<arvindsrinivasan> Wanted to be sure there wasn’t something I was missing first16:22
litghostThe correct solution is to get it into PyPi16:22
litghostBut I'm fine with reasonable workarounds16:22
*** ASHR has quit IRC16:36
*** extorr has joined #symbiflow16:36
*** ASHR has joined #symbiflow16:37
*** SmutLord^ has quit IRC16:37
sf-slack<arvindsrinivasan> PR made @litghost16:39
sf-slack<arvindsrinivasan> How long should it roughly take to make a bitstream for the counter example for the Basys3?17:43
litghostCI takes circa 1 minute17:47
litghosthttps://github.com/SymbiFlow/symbiflow-examples/runs/176666748917:47
*** rj has quit IRC17:47
*** rj has joined #symbiflow17:48
*** flying_turtle has quit IRC17:55
*** flying_turtle has joined #symbiflow17:56
*** rj has quit IRC18:31
*** ASHR has quit IRC18:34
*** rj has joined #symbiflow18:38
*** rj has quit IRC19:01
*** rj has joined #symbiflow19:03
*** kraiskil has joined #symbiflow19:04
*** rj has quit IRC19:05
*** kraiskil has quit IRC20:07
*** kraiskil has joined #symbiflow20:19
*** rj has joined #symbiflow21:17
*** rj has quit IRC21:26
*** rj has joined #symbiflow21:28
*** TMM has quit IRC21:37
*** TMM has joined #symbiflow21:37
*** craigo has joined #symbiflow21:51
*** kraiskil has quit IRC22:50
*** rj has quit IRC23:35

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!