Wednesday, 2021-01-27

*** tpb has joined #symbiflow00:00
*** hansfbaier has joined #symbiflow00:04
*** citypw_ has joined #symbiflow01:26
*** futarisIRCcloud has quit IRC02:45
*** Degi_ has joined #symbiflow03:46
*** Degi has quit IRC03:47
*** Degi_ is now known as Degi03:47
*** futarisIRCcloud has joined #symbiflow03:52
cr1901_modernmithro: I notice the ice40 backend has a FASM to Icestorm ASCII tool: https://github.com/SymbiFlow/symbiflow-arch-defs/tree/master/ice40/utils/fasm_icebox04:06
cr1901_modernIs this acceptable for machxo2 (FASM to Trellis ASCII) as well so that ecppack can indirectly work w/ FASM files?04:06
cr1901_modernI talked to daveshah in the past about integrating FASM support into ecppack, but I realize now that the names will diverge, and might be easier to write a python script04:06
*** Raito_Bezarius has quit IRC04:08
*** Niklas[m]1 has quit IRC04:08
*** abeljj[m] has quit IRC04:08
*** xobs has quit IRC04:08
*** LoneTech has quit IRC04:08
*** ovf has quit IRC04:08
*** kgugala has quit IRC04:12
*** Niklas[m]1 has joined #symbiflow04:14
*** abeljj[m] has joined #symbiflow04:14
*** xobs has joined #symbiflow04:14
*** LoneTech has joined #symbiflow04:14
*** ovf has joined #symbiflow04:14
*** Niklas[m]1 has quit IRC04:20
*** abeljj[m] has quit IRC04:20
*** xobs has quit IRC04:20
*** promach3 has quit IRC04:20
*** unrznbl[m] has quit IRC04:21
*** lopsided98 has quit IRC04:25
*** lopsided98 has joined #symbiflow04:26
*** Raito_Bezarius has joined #symbiflow04:33
*** Raito_Bezarius has quit IRC04:35
*** Raito_Bezarius has joined #symbiflow04:38
mithrocr1901_modern: Well, the more things that natively support FASM the better IMHO...04:38
hansfbaiermithro: Sorry for not contributing yet to prjxray, it is still a bit ahead of my learning curve, so I made a couple of contributions to the litex ecosystem, because that helps me to advance my project.04:41
cr1901_modernmithro: Alright, I'll see what I can do. How bad could it be (famous last words)?04:42
mithrocr1901_modern: Getting something done is more important than getting it done right :-)04:43
*** promach3 has joined #symbiflow04:43
hansfbaiermithro: I think the best way to learn FPGAs is to work on a concrete project (as you did with the video capture stuff) in mind.04:43
hansfbaiermithro: s/stuff/board04:44
*** Niklas[m]1 has joined #symbiflow04:44
mithrohansfbaier: Lots of people find having a project is a good motivator04:51
*** Raito_Bezarius has quit IRC04:54
*** Raito_Bezarius has joined #symbiflow04:57
*** Raito_Bezarius has quit IRC04:57
*** promach3 has quit IRC05:00
*** Niklas[m]1 has quit IRC05:01
*** hansfbaier has quit IRC05:16
*** abeljj[m] has joined #symbiflow05:50
*** xobs has joined #symbiflow05:59
*** unrznbl[m] has joined #symbiflow06:01
*** Niklas[m]1 has joined #symbiflow06:13
*** promach3 has joined #symbiflow06:15
*** citypw_ has quit IRC06:16
*** kgugala has joined #symbiflow06:23
*** futarisIRCcloud has quit IRC06:51
* promach3 uploaded an image: image.png (166KiB) < https://matrix.org/_matrix/media/r0/download/matrix.org/ADvZLmDhrowKUZToQdVqrFSx/image.png >06:55
promach3Anyone familiar with formally verification of `always @(*)` ?06:55
promach3https://github.com/promach/noc/blob/development/spidergon_top.v#L566-L61506:55
promach3as in doing `assert()` for `always @(*)`06:55
promach3along side with `for` loop06:56
*** hansfbaier has joined #symbiflow07:53
*** citypw_ has joined #symbiflow08:46
*** hansfbaier has quit IRC09:52
*** hansfbaier has joined #symbiflow09:54
-_whitenotifier- [symbiflow-examples] donn opened issue #122: Nothing for Icestorm? - https://git.io/JtCgH09:56
*** maartenBE has quit IRC10:18
*** cchndl has joined #symbiflow10:25
*** maartenBE has joined #symbiflow10:31
*** cchndl has quit IRC11:14
*** hansfbaier has quit IRC11:24
*** FFY00 has quit IRC12:52
*** futarisIRCcloud has joined #symbiflow13:28
*** FFY00 has joined #symbiflow13:41
LoneTechone more minor step. I have gen_part_base_yaml modified to read Spartan6 bit files; but it says there's no IDCODE check in my bit file.14:27
LoneTechfound the idcode in the bit file, now to see why it wasn't identified14:56
LoneTech... and it expects IDCODE to be 1 word, when it's 2. fixable!15:00
LoneTechtrickier subject: bitgen doesn't support perframecrc or DebugBitstream. I'm getting autoincrement bitstreams15:17
LoneTechon the other hand, my bit files are nearly the whole size indicated in UG380 table 5-5, so they might just be fuzzable without addressing? I suspect switching compressed stream on will include the frame addresses. sizes and counts are also listed in UG380.15:22
LoneTechbut not how many frames per column and such15:23
*** craigo has joined #symbiflow15:23
LoneTechhmm, maybe the missing FAR isn't quite so missing? There does exist a FAR_MAJ write.15:27
LoneTechyep, size mismatch again; the FAR_MAJ write is 2 words15:30
LoneTechand that writes FAR_MAJ then FAR_MIN, for a full FAR, according to the manual. and prjxray expected only 1 word.15:31
LoneTechwhy does gen_part_base_yaml expect FDRI before FAR?15:39
LoneTechkind of feels like I'd have to use compressed bitstreams and fuzzed locations to snoop out actual used addresses15:43
LoneTechhm. that enables multi frame write. not sure it ever uses multiple addressing16:03
mithropromach3: That is probably a better question for #yosys channel16:25
*** flammit has quit IRC16:37
*** benreynwar has quit IRC16:37
*** elms has quit IRC16:37
*** sorear has quit IRC16:37
*** emilazy has quit IRC16:37
*** ktemkin has quit IRC16:37
*** tcal has quit IRC16:37
*** _florent_ has quit IRC16:37
*** y2kbugger has quit IRC16:37
*** tucanae47 has quit IRC16:37
*** diamondman has quit IRC16:37
*** daveshah has quit IRC16:37
*** davidlattimore has quit IRC16:38
*** jopdorp_ has quit IRC16:38
*** guan has quit IRC16:38
*** ric96 has quit IRC16:38
*** hosana has quit IRC16:38
*** perillamint has quit IRC16:38
*** bubble_buster has quit IRC16:38
*** futarisIRCcloud has quit IRC16:38
*** litghost has quit IRC16:38
*** tannewt has quit IRC16:38
*** mithro has quit IRC16:38
*** brent___ has quit IRC16:38
*** ovf has quit IRC16:38
*** flammit has joined #symbiflow16:39
*** jopdorp_ has joined #symbiflow16:39
*** futarisIRCcloud has joined #symbiflow16:39
*** y2kbugger has joined #symbiflow16:40
*** benreynwar has joined #symbiflow16:40
*** davidlattimore has joined #symbiflow16:40
*** daveshah has joined #symbiflow16:40
*** lopsided98 has quit IRC16:41
*** elms has joined #symbiflow16:42
*** ovf has joined #symbiflow16:42
*** tcal has joined #symbiflow16:42
*** tucanae47 has joined #symbiflow16:43
*** hosana has joined #symbiflow16:44
*** _florent_ has joined #symbiflow16:45
*** daveshah has quit IRC16:45
*** sorear has joined #symbiflow16:45
*** brent___ has joined #symbiflow16:45
*** ric96 has joined #symbiflow16:46
*** diamondman has joined #symbiflow16:46
*** lopsided98 has joined #symbiflow16:47
*** citypw_ has quit IRC16:50
*** perillamint has joined #symbiflow16:50
*** bubble_buster has joined #symbiflow16:50
*** emilazy has joined #symbiflow16:50
*** perillamint has quit IRC16:53
*** perillamint has joined #symbiflow16:55
*** emilazy has quit IRC16:55
*** guan has joined #symbiflow16:56
*** tannewt has joined #symbiflow16:56
*** bubble_buster has quit IRC16:56
*** ktemkin has joined #symbiflow16:56
*** bubble_buster has joined #symbiflow16:56
*** emilazy has joined #symbiflow16:57
*** daveshah has joined #symbiflow16:58
*** FFY00 has quit IRC16:59
*** mithro has joined #symbiflow17:00
*** litghost has joined #symbiflow17:01
*** kraiskil has joined #symbiflow17:04
*** kgugala has quit IRC17:11
*** kgugala has joined #symbiflow17:11
*** epony has quit IRC17:12
*** epony has joined #symbiflow17:13
*** bubble_buster has quit IRC17:17
*** emilazy has quit IRC17:17
*** mithro has quit IRC17:18
*** bubble_buster has joined #symbiflow17:19
*** emilazy has joined #symbiflow17:19
*** daveshah has quit IRC17:19
*** mithro has joined #symbiflow17:21
*** _florent_ has quit IRC17:21
*** FFY00 has joined #symbiflow17:27
*** _florent_ has joined #symbiflow17:29
*** craigo has quit IRC17:32
*** daveshah has joined #symbiflow17:34
*** emilazy has quit IRC18:00
*** emilazy has joined #symbiflow18:01
*** tannewt has quit IRC18:04
*** tannewt has joined #symbiflow18:04
*** ovf has quit IRC18:04
*** elms has quit IRC18:04
*** elms has joined #symbiflow18:05
*** ovf has joined #symbiflow18:06
*** tannewt has quit IRC18:14
*** emilazy has quit IRC18:14
*** elms has quit IRC18:14
*** tannewt has joined #symbiflow18:15
*** ovf has quit IRC18:15
*** brent___ has quit IRC18:17
*** benreynwar has quit IRC18:17
*** flammit has quit IRC18:17
*** hosana has quit IRC18:17
*** brent___ has joined #symbiflow18:18
*** benreynwar has joined #symbiflow18:18
*** emilazy has joined #symbiflow18:18
*** flammit has joined #symbiflow18:18
*** elms has joined #symbiflow18:18
*** hosana has joined #symbiflow18:18
*** ovf has joined #symbiflow18:21
*** emilazy has quit IRC18:25
*** flammit has quit IRC18:25
*** benreynwar has quit IRC18:25
*** brent___ has quit IRC18:25
*** hosana has quit IRC18:25
*** elms has quit IRC18:25
*** ovf has quit IRC18:27
*** elms has joined #symbiflow18:28
*** brent___ has joined #symbiflow18:28
*** flammit has joined #symbiflow18:31
*** benreynwar has joined #symbiflow18:31
*** hosana has joined #symbiflow18:31
*** emilazy has joined #symbiflow18:33
*** ovf has joined #symbiflow18:34
nickoemm, litghost  do you know of a more coherent way to run nmigen with the symbiflow toolchain, it looks like a bit of streamlining is needed, including the symbiflow_ prefix thing18:43
nickoelitghost: nmigen generates this script when enabling the sybiflowtoolchain (I added the prefix in nmigen), but it checkes for some apparently undocumented environment varable which is empty, but is something that it wants to source. Do you know what file that is?18:45
nickoehttps://dpaste.com/GD72JMYSC18:45
tpbTitle: dpaste: GD72JMYSC (at dpaste.com)18:45
litghostI do not18:46
litghostSorry18:47
litghostI work mostly on the p&r side, less on the ecosystem infrastructure side18:47
litghostAgain, kgugala or tcal are better people to ask18:47
nickoehttps://github.com/nmigen/nmigen/blob/b466b724fe9f62140062afc9ecde9a920a261487/nmigen/vendor/xilinx_7series.py#L62-L6318:48
*** emilazy has quit IRC18:54
*** benreynwar has quit IRC18:54
*** flammit has quit IRC18:54
*** hosana has quit IRC18:55
*** ovf has quit IRC18:55
*** benreynwar has joined #symbiflow18:56
nickoemm, for the quick logic stuff they are using the prefixed version of the "tools" https://github.com/nmigen/nmigen/blob/adef3b2e7bb1a0aecededd49081df990ec51ab17/nmigen/vendor/quicklogic.py#L39-L4618:59
nickoeok, now synthing with symbiflow19:02
*** hosana has joined #symbiflow19:15
*** ovf has joined #symbiflow19:16
nickoemmm, not quite there yet, something fails https://dpaste.com/38EHMBFQG19:17
tpbTitle: dpaste: 38EHMBFQG (at dpaste.com)19:17
*** flammit has joined #symbiflow19:19
nickoeit looks like ..../prjxray-db/xc7a35tcpg236-1/... should be prjxray-db/artix7/xc7a35tcpg236-119:20
*** emilazy has joined #symbiflow19:20
litghostThat's likely a mismatch in prjxry-db  and the prjxray python library19:31
litghostAre you using conda?19:31
litghostThis is an easy fix either way19:32
nickoelitghost: yes19:32
nickoeyou see the conda path?19:32
litghostInstall the newer prjxray-db package: https://github.com/SymbiFlow/symbiflow-arch-defs/pull/1947/files#diff-9efd195f4e9bfb79ccd456a1d8370fafcc4bcb0b00ea3799222667d2ae818533R1319:32
nickoemmm, how do I do that?19:33
litghost"conda install prjxray-db=v0.0_243_g057e179=20210119_091808"19:34
nickoelitghost: This appears to be the fix needed in nmigen, https://github.com/nickoe/nmigen/commit/119c6fd1789e2d397f285d4956034cc4a95f200619:35
litghostThat looks right19:35
nickoelitghost: https://dpaste.com/9QBHNSP3J19:36
tpbTitle: dpaste: 9QBHNSP3J (at dpaste.com)19:36
nickoethe environment I am using is the one from https://symbiflow-examples.readthedocs.io/en/latest/getting-symbiflow.html19:38
tpbTitle: Getting SymbiFlow SymbiFlow examples documentation (at symbiflow-examples.readthedocs.io)19:38
nickoeI mean the one I get by following those instructions.19:39
litghostSo in this PR https://github.com/SymbiFlow/symbiflow-examples/commit/82dbf21b4dc2daf0ad79f7d4e334449da03332e5 we fixed this issue19:40
litghostBy freezing the prjxray version to a specific comment19:40
litghostcommit19:40
litghostYour error looks like what happens if you don't do that19:40
nickoeit looks like this is what I have in the current env:19:40
nickoelitex-hub::prjxray-tools=0.1_2697_g0f939808=20201120_14582119:40
nickoelitex-hub::prjxray-db=0.0_0239_gd87c844=20201120_14582119:40
*** emilazy has quit IRC19:40
*** flammit has quit IRC19:40
nickoeI am not overly familiar with conda, so I don't really understand the error I get when I try your instll command19:41
litghostTry "conda install -c litex-hub prjxray-db=v0.0_243_g057e179=20210119_091808"19:42
nickoeI have that change,19:42
nickoegrep -r 905a6b5b0407b45b6b7484741c8f701dcfa6b81d19:42
nickoexc7/requirements.txt:git+https://github.com/SymbiFlow/prjxray.git@905a6b5b0407b45b6b7484741c8f701dcfa6b81d#egg=prjxray19:42
*** emilazy has joined #symbiflow19:42
litghostThen it should just work19:42
nickoesame sort of error with the channel thing19:42
nickoehttps://dpaste.com/69LTC5DS219:43
tpbTitle: dpaste: 69LTC5DS2 (at dpaste.com)19:43
*** flammit has joined #symbiflow19:43
nickoeoh, wait a sec, I think I missed a char in the last command19:44
nickoethat does something19:44
nickoeThe following packages will be DOWNGRADED:19:44
nickoe  prjxray-db              0.0_0239_gd87c844-20201120_145821 --> v0.0_243_g057e179-20210119_09180819:44
litghostThere we go19:44
litghostBecuase you have the fixed environment you shouldn't have hit an issue19:45
litghostI recommend you file an issue on symbiflow-examples with replication instructions, assuming that things are still broken19:45
nickoegotta fixup nmigen first19:45
nickoeit does not appear to fix the issye, though19:46
litghostThat's good!19:46
nickoeI mean, I get the same error... ehh why is that good :S19:46
litghostOh, does not :)19:47
litghostAnyways, please file a bug with replication instructions, easier to debug19:47
litghostThe current symbiflow-examples CI is passing, so something is different19:47
nickoewelll, my env works for the symbiflow-examples, but remember this is a nmigen project.19:50
nickoelitghost: maybe you can try to run the nmigne project?20:00
nickoesource your xc7 compatible symbflow env20:05
nickoegit clone https://github.com/nickoe/nmigen -b fixup_symbiflow_toolchain_xilinx_7series && pushd nmigen && pip install -e . && popd20:05
nickoegit clone https://github.com/nickoe/nmigen-boards && cd nmigen-boards && pip install -e .20:05
nickoeexport NMIGEN_ENV_Symbiflow=<to something that also sources your env, or maybe something non zero at least>20:05
nickoeedit nmigen-boards/nmigen_boards/arty_a7.py and add `toolchain="Symbiflow"` to the construction of ArtyA7Platform20:05
nickoelitghost:  ^20:05
Loftykgugala: While I read through these results, what's the cell name for a LUT? I see a bunch of *_FRAG cells here20:41
LoftySorry, for an LC, not a LUT20:42
sf-slack<kgugala> its PB-LOGIC20:43
sf-slack<kgugala> this is the whole logic cell20:43
LoftyThose numbers don't appear to be in the JSONs you sent me20:44
sf-slack<kgugala> my bad :)20:44
sf-slack<kgugala> I sent you results from yosys (and referenced the ones from VPR)20:45
sf-slack<kgugala> I'll fix this in a moment20:45
LoftyGot it, thank you20:49
Loftyhttps://puu.sh/Hb6yB/1126b6b8f2.png <-- I presume you've already charted the data, kgugala, but if not21:04
litghostnickoe: My recommendation I stated earlier stands.  Please write an issue on symbiflow-examples with replication instructions for your issue21:29
Loftykgugala: So, I've been looking through soc_litex_pwm21:34
LoftyI can't run `sta` on it, because qlal4s3b_cell_macro is a black box that I don't know how to describe in Yosys21:35
LoftySo I'm probably going to have to wait for timing information from VPR there21:35
LoftySame for counter21:36
*** kraiskil has quit IRC21:38
sf-slack<kgugala> qlal4s3b_cell_macro is a hard CPU21:39
sf-slack<kgugala> (sth like PS7 in Zynq)21:39
LoftySo yeah, that's not describable to Yosys21:40
sf-slack<kgugala> from FPGA fabric perspective it is just a bunch of pins21:40
sf-slack<kgugala> (like IO pins)21:40
Lofty`sta` seems to assume that primary inputs/outputs come only from top-level module parameters...21:41
sf-slack<kgugala> this could be a problem in such devices21:41
LoftyMmm21:42
sf-slack<kgugala> this will also impact Xilinx Zynq21:42
sf-slack<kgugala> or Intel's socfpgas21:42
LoftySeems I might have to talk to Eddie about that21:43
sf-slack<kgugala> makes sense21:43
LoftyOr else hack the testbench to make those signals top-level arguments for my own debugging :P21:45
sf-slack<kgugala> this may be faster (at least to figure out what is going on in those designs)21:46
*** abeljj[m] has quit IRC21:50
*** promach3 has quit IRC21:50
*** xobs has quit IRC21:50
*** Niklas[m]1 has quit IRC21:51
*** unrznbl[m] has quit IRC21:51
Loftykgugala: okay, running `sta` on counter-ql shows that ABC9 has found a mapping that is about 25% less delay21:51
LoftySo that seems to simply be a case of ABC9 having less timing slack21:52
LoftyI'm not particularly concerned there, I think; maybe it'd be a good idea to implement a two-pass mapping system21:53
*** FFY00 has quit IRC21:54
*** lopsided98 has quit IRC21:54
Loftykgugala: would you mind running a quick test of counter-ql with `scratchpad -set abc9.D 16825` before `synth_quicklogic -abc9 -mult`?22:00
*** lopsided98 has joined #symbiflow22:00
sf-slack<kgugala> sure22:01
LoftyThis is very much micro-optimisation, but this is to check that a two-pass approach would result in a better area22:02
sf-slack<kgugala> better - 26 LCs (vs 34 earlier)22:07
sf-slack<kgugala> vs 29 with ABC22:08
sf-slack<kgugala> :)22:08
LoftyThe predicted area formula is trying its best :P22:08
LoftyI'm guessing you don't know what the SpDE area is, do you? :P22:09
sf-slack<kgugala> does it make sense to run the whole test suite with this tweak?22:09
sf-slack<kgugala> No I don't know it22:09
sf-slack<kgugala> (the SpDE area)22:09
Lofty<sf-slack> <kgugala> does it make sense to run the whole test suite with this tweak? <-- no, but I think it validates the concept22:11
LoftyIn the cases where it's feasible >.>22:11
sf-slack<kgugala> I just started the run - it's just a computer who has to work on this ;)22:12
sf-slack<kgugala> I'll send you the results once I get them22:12
LoftySo, here's the kinda stupid idea: run ABC, run STA to get an initial delay estimate, run ABC9 using that delay estimate to get better area for roughly the same delay22:12
LoftyHmm... I do know of another tweak I could make, perhaps, but it's incompatible with the one I described above22:14
nickoelitghost: mm, what is the point od that coanda env create thing?22:16
nickoe/home/nickoe/symbiflow_toolchain_deleteme/xc7/conda/bin/conda env create -f /home/nickoe/symbiflow_toolchain_deleteme/xc7/environment.yml22:16
nickoeEnvironmentFileNotFound: '/home/nickoe/symbiflow_toolchain_deleteme/xc7/environment.yml' file not found22:16
LoftyABC9 has a hack constant called W, to represent wire interconnect delay. It has the result of making ABC9 prefer one big LUT to a lot of small LUTs22:16
litghostIt's the conda equivalent of a virtual env22:16
litghostIsolated conda environment22:17
nickoebut why does that command error?22:17
nickoeI just too it from https://symbiflow-examples.readthedocs.io/en/latest/getting-symbiflow.html and added in a script with set -e22:18
tpbTitle: Getting SymbiFlow SymbiFlow examples documentation (at symbiflow-examples.readthedocs.io)22:18
litghostThe file is here: https://github.com/SymbiFlow/symbiflow-examples/blob/master/xc7/environment.yml , I don't know what is going on with your setup22:22
nickoeok, I see22:23
nickoeso it epects to use that file for the definiotn of the env, hende the need to sync the symbiflow-examples repo first in the instructions.22:23
nickoelitghost: Why is it that those arch defs need to be downloaded manually (the ones that are wgetted in the end of the instructions)? Why are they not installed via coanda?22:27
sf-slack<kgugala> @lofty I sent you results with the scratchpad tweak22:27
litghostThat's TDB, I believe there is a plan to add a conda package for that too22:28
*** LoneTech has quit IRC22:29
*** LoneTech has joined #symbiflow22:30
Loftykgugala: wow, that's...really quite something.22:30
Loftyhttps://puu.sh/Hb88O/413d9038ff.png <-- chart22:31
Loftykgugala: okay, here's a different scratchpad hack for you to try: `scratchpad -set abc9.W 1000`22:33
LoftyThis is absolutely the hackiest of hacks22:33
*** FFY00 has joined #symbiflow22:49
-_whitenotifier- [fpga-tool-perf] mithro opened issue #314: Move to using the inbuilt edalize reporting support for collecting resource information - https://git.io/JtWnp22:55
*** abeljj[m] has joined #symbiflow23:13
*** promach3 has joined #symbiflow23:17
*** xobs has joined #symbiflow23:18
*** abeljj[m] has quit IRC23:23
*** promach3 has quit IRC23:23
*** xobs has quit IRC23:23
nickoelitghost: mmm, here you have a recipe for my issue https://dpaste.com/6L6NWFGP4.txt23:31
litghostPerfect, thanks23:32
litghostPlease create an issue with that paste in a block quote23:32
litghoston symbiflow-examples23:32
*** Niklas[m] has joined #symbiflow23:36
-_whitenotifier- [symbiflow-examples] nickoe opened issue #123: nmigen tilegrid.json path issue when using symbiflow toolchain - https://git.io/JtWWk23:39
nickoelitghost: there you go23:39
litghostPerfect, thanks!23:39
sf-slack<jgoeders> @litghost I'm trying to run fasm2bels (been a while) and running test-py I'm getting failures due to OS.environ KeyErrors on INTERCHANGE_SCHEMA_PATH https://github.com/SymbiFlow/symbiflow-xc-fasm2bels/blob/0cee3d9cf9cb3115b4273b2a65c1ef0bd65692f6/tests/test_fasm2bels.py#L101.  Is this a bug, or is there some documentation somewhere I should be looking at that says how to set up my environment with these changes.23:50
sf-slackThanks.23:50
litghostSee INTERCHANGE_SCHEMA_PATH to point to https://github.com/Xilinx/RapidWright/tree/interchange/interchange23:51

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!