Saturday, 2021-01-09

*** tpb has joined #symbiflow00:00
*** kgugala_ has quit IRC00:17
*** Jay_jayjay has quit IRC00:21
cr1901_modernnaachhilfe: Enough of MachXO2 is RE'd such that basic designs will work. Stay tuned for a few more weeks for symbiflow support for a full flow from yosys to bitstream.01:10
*** Jay_jayjay has joined #symbiflow01:11
cr1901_modernnaachhilfe: After the flow is working, I have to think what should be RE'd next. But I can at least get you started w/ fuzzing bits that I haven't done yet.01:12
*** Jay_jayjay has quit IRC01:22
*** Jay_jayjay has joined #symbiflow01:24
*** ASHR has joined #symbiflow01:24
*** zisis_a has joined #symbiflow02:01
*** zisis_a88 has joined #symbiflow02:05
*** zisis_a1 has joined #symbiflow02:07
*** zisis_a has quit IRC02:08
*** zisis_a88 has quit IRC02:08
*** zisis_a1 has quit IRC02:08
*** zisis_a has joined #symbiflow02:08
*** zisis_a has left #symbiflow02:44
*** zisis_a has joined #symbiflow02:53
*** Jay_jayjay has quit IRC02:56
*** zisis_a has quit IRC03:00
*** ASHR has quit IRC03:40
*** Degi_ has joined #symbiflow04:22
*** Degi has quit IRC04:23
*** Degi_ is now known as Degi04:23
*** ASHR has joined #symbiflow05:48
*** _whitelogger has quit IRC06:39
*** _whitelogger has joined #symbiflow06:41
*** kraiskil has joined #symbiflow06:51
*** kraiskil has quit IRC06:58
*** kraiskil has joined #symbiflow08:18
*** Ayush has joined #symbiflow08:34
*** ASHR has quit IRC08:36
*** Ayush has quit IRC09:16
*** ASHR has joined #symbiflow09:23
*** ASHR has quit IRC10:14
*** dnltz has joined #symbiflow10:30
*** kraiskil has quit IRC11:47
*** zisis_a has joined #symbiflow11:55
*** join_subline has quit IRC12:29
*** join_subline has joined #symbiflow12:51
*** kraiskil has joined #symbiflow13:13
*** dnltz has quit IRC13:25
*** dnltz has joined #symbiflow14:15
*** Jay_jayjay has joined #symbiflow15:03
dnltzHow are the prebuiled arch definitions from https://symbiflow-examples.readthedocs.io/en/latest/getting-symbiflow.html get generated? I'm not sure if the kokoro files are correct15:34
tpbTitle: Getting SymbiFlow SymbiFlow examples documentation (at symbiflow-examples.readthedocs.io)15:34
*** Jay_jayjay has quit IRC15:38
*** Jay_jayjay has joined #symbiflow15:45
*** Jay_jayjay has quit IRC15:49
*** zisis_a has quit IRC15:54
*** Jay_jayjay has joined #symbiflow16:35
*** kgugala has joined #symbiflow17:09
*** Jay_jayjay has quit IRC17:52
*** Jay_jayjay has joined #symbiflow18:06
*** Jay_jayjay has quit IRC18:17
mithrodnltz: They are generated using symbiflow-arch-defs on our CI18:19
dnltzDo you have some output/logs from it?18:41
*** andrewb1999 has joined #symbiflow18:44
*** Jay_jayjay has joined #symbiflow19:27
*** andrewb1999 has quit IRC19:34
*** ASHR has joined #symbiflow19:48
*** Jay_jayjay has quit IRC20:14
*** dnltz has quit IRC20:28
*** topaz has joined #symbiflow20:36
*** ASHR has quit IRC20:45
*** andrewb1999 has joined #symbiflow20:56
*** kraiskil has quit IRC21:32
*** topaz has quit IRC22:09
sf-slack<mpictor> looks like builds only take advantage of a single core? at least, that's what I'm seeing with `make -j20 -l16 counter_arty_bit`22:50
sf-slack<mpictor> another question - according to the interweb, there's been some progress in parsing vhdl (via ghdl). where would I find instructions on trying that out?22:58
*** join_subline has quit IRC23:43

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!