Tuesday, 2020-11-17

*** tpb has joined #symbiflow00:00
*** az0re has quit IRC00:00
cjearlsI know I can't just throw it on and tie the signals to 0 because most of the logic will be optimized away, so I'm looking for a way to prevent this. If it's not possible to prevent, how can I configure the pins on my FPGA? For reference, I am using an orangecrab board00:01
*** az0re has joined #symbiflow00:09
*** join_subline has quit IRC01:21
*** join_sub1ine has joined #symbiflow01:24
umarcor|2@HackerFoo, @litghost, you might find https://ghdl.github.io/ghdl-cosim/vhpidirect/examples/shared.html interesting.01:33
tpbTitle: Shared libs and dynamic loading GHDL-cosim latest documentation (at ghdl.github.io)01:33
umarcor|2That's about loading shared libraries in Python, (re)defining some functions/variables and then using them from VHDL.01:34
Loftycjearls: nextpnr-ecp5 has an option for "out of context" mode, which just ignores the I/O pins01:35
umarcor|2https://bugs.python.org/issue4134801:36
tpbTitle: Issue 41348: Support replacing global function pointers in a shared library - Python tracker (at bugs.python.org)01:36
Lofty(it's designed for blocks to be placed and routed to be used for other things)01:36
HackerFooumarcor|2: Thanks01:36
umarcor|2You can ignore the VHDL part, of course. The point is that ctypes allows interacting with any language that understands C prototypes, not only C/C++.01:38
umarcor|2And, as you said, it's built in Python, so no additional deps are required.01:39
cjearlsLofty: So I can just use my design as the top Verilog file and use "out of context" mode and everything should just work? Is there anything else I need to do?01:39
LoftyNope, that's it.01:39
LoftyYou can use things like pack or place only to get faster but less accurate answers01:40
LoftyIt is entirely possible to have a design which fits neatly into the chip but is not routable, though, so keep that in mind01:41
LoftyIn my experience nextpnr is fast enough that it's generally easy to go through the whole cycle01:41
Loftycjearls: oh, and you're building with 'synth_ecp5 -abc9' right?01:43
cjearlsNot currently, the command I was going to run was "nextpnr-ecp5 --25k --out-of-context"01:44
cjearlsLofty: How should my directory be set up? Are there any other arguments I need?01:47
LoftyWell, you need the --json, but other than that, no; the defaults are fine01:48
LoftyAnd nextpnr doesn't really do much to a directory (which is good)01:48
LoftySo it doesn't matter01:49
cjearlsLofty: I've never run Symbiflow on its own without a premade script before01:49
cjearlsSo I need to call yosys, then nextpnr, correct?01:49
umarcor|2cjearls, are you familiar with makefiles?01:49
cjearlsumarcor|2: Yes01:50
umarcor|2Here you are: https://github.com/antonblanchard/ghdl-yosys-blink01:50
umarcor|2That's a blink example for the orangecrab using a makefile.01:50
Lofty`yosys -p "synth_ecp5 -abc9 -json netlist.json" <Verilog files>; nextpnr-ecp5 --25k --out-of-context --json netlist.json`01:50
umarcor|2There you can see yosys + nextpnr + ecppack01:51
cjearlsumarcor|2: Thank you, Highly appreciated01:51
cjearlsLofty: Thank you as well01:51
umarcor|2You need to adapt it to the guidelines that Lofty provided, tho01:51
Loftyumarcor|2: but that's... not what was asked for01:51
cjearlsI'm new to most of this, so if it's entirely possible that I'm not asking for the right things01:52
umarcor|2Lofty, I'm providing it for him/her to understand the steps involved in generating a bitstream from HDL sources: synthesis, implementation and packaging.01:52
LoftyEither way: the number one way you can improve the quality of result of Yosys for ECP5 is that magic '-abc9' option01:53
cjearlsVery interesting, I wonder what that does01:53
Loftyhttps://github.com/Ravenslofty/yosys-cookbook/blob/master/ecp5.md (for all the synth_ecp5 options)01:54
cjearlsIt looks like it sets the ABC logic synthesis tool to 9, which I guess is the maximum optimization level01:54
Loftyhttps://github.com/Ravenslofty/yosys-cookbook/blob/master/misc/abc9.md (for -abc9 specifically)01:54
Loftycjearls: hah, no. It's ABC v9 :P01:55
cjearlsRIP, I tried01:55
cjearlsLofty: Thanks for the clarification01:55
*** FFY00 has quit IRC01:56
LoftyWell, the way things are going, it's going to be my job to improve docs there01:56
*** FFY00 has joined #symbiflow01:56
LoftyAfter I wrote something that beats ABC, anyway :P01:58
*** FFY00 has joined #symbiflow01:58
-_whitenotifier-f- [fpga-interchange-constraints] litghost opened issue #4: The current "at most one" encoding is not optimal - https://git.io/JkCrQ02:03
cjearlsLofty: Has anyone done any comparisons of the utilization or clock speeds of Symbiflow vs the manufacturer tools?02:03
*** FFY00 has joined #symbiflow02:04
LoftyNot specifically for ECP502:04
Loftyhttps://github.com/symbiflow/fpga-tool-perf tries to do this in general, but yeah02:04
*** FFY00 has quit IRC02:07
*** FFY00 has joined #symbiflow02:07
*** FFY00 has quit IRC02:09
LoftyThere's kind of a -O3 type mode for ABC9, but it's a bit of a mess02:09
*** Degi has quit IRC02:11
*** FFY00 has joined #symbiflow02:12
*** Degi has joined #symbiflow02:12
*** FFY00 has quit IRC02:12
*** FFY00 has joined #symbiflow02:13
*** FFY00 has quit IRC02:15
*** FFY00 has joined #symbiflow02:16
Lofty(anyway, I'm going to sleep, it's 2am)02:19
cjearlsLofty: Thanks for your help, very much appreciated02:19
*** FFY00 has quit IRC02:25
*** citypw has joined #symbiflow02:26
*** FFY00 has joined #symbiflow02:26
*** FFY00 has quit IRC02:38
*** FFY00 has joined #symbiflow02:39
cjearlsWhen I run yosys, It runs for a while, then says "Terminated" but doesn't generate the netlist.json03:40
*** perillamint has quit IRC04:19
*** perillamint has joined #symbiflow04:20
*** OmniMancer has joined #symbiflow05:53
*** QDX45 has joined #symbiflow06:20
*** QDX45_ has quit IRC06:22
*** litghost has quit IRC07:10
*** litghost has joined #symbiflow07:10
-_whitenotifier-f- [symbiflow-arch-defs] the-centry opened issue #1779: Why the kintex7'db - https://git.io/JkWTh07:15
-_whitenotifier-f- [symbiflow-arch-defs] the-centry opened issue #1780: Why the kintex7'dbs exist in prjxray-db but the symbiflow can't use k7's device? - https://git.io/JkWkj07:27
*** kgugala_ has joined #symbiflow08:37
*** kgugala has quit IRC08:38
sf-slack4<olof.kindgren> @cjearls: You might be interested in Edalize. That will generate the project files for any of the 25 EDA tool flows currently supported08:42
*** kgugala_ has quit IRC08:46
*** kgugala has joined #symbiflow08:46
*** TMM has quit IRC10:34
*** TMM has joined #symbiflow10:34
*** OmniMancer has quit IRC11:49
*** kgugala_ has joined #symbiflow14:26
*** kgugala has quit IRC14:27
*** kgugala has joined #symbiflow14:40
*** kgugala_ has quit IRC14:43
-_whitenotifier-f- [fpga-tool-perf] acomodi opened issue #271: Daisho USB design failing - https://git.io/JklkZ14:49
*** citypw has quit IRC14:57
-_whitenotifier-f- [fpga-tool-perf] acomodi opened issue #272: Create error codes to get more information on build statuses - https://git.io/JklYc15:21
cjearls@olof.kindgren Thanks for the recommendation15:57
cjearlsI ran the netlist that gets "Terminated" with some smaller hardware and it ran fine, so seems like my design was too big for the board15:57
-_whitenotifier-f- [fpga-tool-perf] mithro opened issue #273: Integrate build result publishing - https://git.io/Jklum16:46
sf-slack4<olof.kindgren> @cjearls: And if you want to know more about Edalize I can recomment the award-winning introduction video17:02
LoftyYou can't just keep giving yourself awards, olof :P17:12
sf-slack4<olof.kindgren> @lofty: But, but... that's the only way I will get any awards!?!17:33
Lofty:P17:33
-_whitenotifier-f- [symbiflow-arch-defs] litghost opened issue #1783: Remove `VTR + symbiflow-arch-defs` step from arch-defs builds - https://git.io/JkloG17:43
-_whitenotifier-f- [conda-packages] HackerFoo opened issue #144: CI fails on forks if user doesn't have their own channel at conda.anaconda.org - https://git.io/JklMA19:08
*** az0re has quit IRC19:19
*** az0re has joined #symbiflow20:21
*** awordnot has quit IRC21:32
*** awordnot has joined #symbiflow21:58
*** QDX45 has quit IRC22:25
*** awordnot has quit IRC22:53
*** awordnot has joined #symbiflow22:54
*** maartenBE has quit IRC22:59
*** cjearls has quit IRC23:00
*** maartenBE has joined #symbiflow23:01

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!