Thursday, 2020-09-17

*** tpb has joined #symbiflow00:00
*** maartenBE has quit IRC00:04
*** dbobrek has quit IRC00:19
*** az0re has joined #symbiflow00:27
*** maartenBE has joined #symbiflow00:34
*** maartenBE has quit IRC00:44
*** maartenBE has joined #symbiflow00:49
*** Degi has quit IRC03:11
*** Degi has joined #symbiflow03:13
*** citypw has joined #symbiflow05:28
*** OmniMancer has joined #symbiflow08:06
-_whitenotifier-f- [python-symbiflow-v2x] mkurc-ant opened issue #79: Incorrect Yosys package installed in readthedocs env - https://git.io/JURa310:19
*** az0re has quit IRC11:40
*** lambda has quit IRC12:02
*** lambda has joined #symbiflow12:22
*** lambda has quit IRC12:31
*** lambda has joined #symbiflow12:49
*** lambda has quit IRC12:57
*** lambda has joined #symbiflow13:13
*** lambda has quit IRC13:36
*** lambda has joined #symbiflow14:05
*** FFY00 has quit IRC14:29
*** FFY00 has joined #symbiflow14:30
*** OmniMancer has quit IRC14:49
*** az0re has joined #symbiflow15:03
*** citypw has quit IRC15:35
-_whitenotifier-f- [sphinx-verilog-domain] mithro opened issue #19: Read the docs build failing? - https://git.io/JURNf18:00
sf-slack<timo.callahan> @acomodi  @kgugala -- Alessandro, I tried using the new tarball with the IDELAYCTRL fix in symbiflow examples, but had an issue with Yosys -- I'm guessing you have a newer version in your tarball scripts, but an older version installed with Conda?   This is the error:20:20
sf-slack<timo.callahan> `-- Running command `tcl /opt/symbiflow/xc7/install/share/symbiflow/scripts/xc7/synth.tcl' -- [TCL: yosys -import] Command name collision: found pre-existing command `cd' -> skip. [TCL: yosys -import] Command name collision: found pre-existing command `eval' -> skip. [TCL: yosys -import] Command name collision: found pre-existing command `read' -> skip. [TCL: yosys -import] Command name collision: found20:20
sf-slackpre-existing command `trace' -> skip. ERROR: Can't load module `./params': /home/tcal/.conda/envs/xc7/bin/../share/yosys/plugins/params.so: cannot open shared object file: No such file or directory`20:20
sf-slack<timo.callahan> The plugins directory exists, but no "params.so".20:20
sf-slack<timo.callahan> xc7/environment.yml has these lines:20:21
sf-slack<timo.callahan> `name: xc7 channels:   - symbiflow dependencies:   - symbiflow::symbiflow-yosys=0.8_3925_g6bccd35a   - symbiflow::symbiflow-yosys-plugins=1.0.0.7_0032_g104f4fc   - symbiflow::symbiflow-vtr=8.0.0.rc2_4003_g8980e4621`20:21
sf-slack<kgugala> looks like yosys-plugins package in conda was not updated20:29
sf-slack<kgugala> params plugin has been merged 2 monts ago20:29
sf-slack<kgugala> so it should be in conda20:29
sf-slack<kgugala> @timo.callahan can you bump the plugins package in the examples repo?20:31
sf-slack<kgugala> https://anaconda.org/SymbiFlow/symbiflow-yosys-plugins/files20:31
sf-slack<kgugala> here are the available versions20:32
sf-slack<timo.callahan> Hmm, symbiflow-examples works with the tarball linked in the README:  https://storage.googleapis.com/symbiflow-arch-defs/artifacts/prod/foss-fpga-tools/symbiflow-arch-defs/presubmit/install/477/20200714-082108/symbiflow-arch-defs-install-8eb88e76.tar.xz   -- I guess that's more than a couple months old.20:32
sf-slack<kgugala> I think you can try the latest one20:32
sf-slack<timo.callahan> Thanks for the list of versions, I didn't know how to find that20:32
sf-slack<timo.callahan> Yeah, I'll see if it works if I bump both the tarball install and the yosys conda packages.20:33
sf-slack<kgugala> the old tarbal in examples comes with Yosys script which does not want to load params.so plugin20:33
sf-slack<timo.callahan> Thanks Karol!20:34
sf-slack<timo.callahan> Hmm, I updated xc7/environment.yml to match the lastest files on anaconda.org, but conda isn't finding them:20:46
sf-slack<timo.callahan> `-  - symbiflow::symbiflow-yosys=0.8_3925_g6bccd35a -  - symbiflow::symbiflow-yosys-plugins=1.0.0.7_0032_g104f4fc +  - symbiflow::symbiflow-yosys-0.8_6021_gd8b2d1a2 +  - symbiflow::symbiflow-yosys-plugins-1.0.0.7_0117_g160b309`20:47
sf-slack<timo.callahan> `Solving environment: failed`  `ResolvePackageNotFound:    - symbiflow::symbiflow-yosys-0.8_6021_gd8b2d1a2   - symbiflow::symbiflow-yosys-plugins-1.0.0.7_0117_g160b309`20:47
sf-slack<timo.callahan> (above is the diff for xc7/environment.yml; the bottom 5 lines are the output from `conda env create ...`20:48
sf-slack<timo.callahan> Hmm, it works if I leave off the version number; then I get the latest, which is what I want for now.   I'll keep going and see if it all works now (using new everything).20:55
sf-slack<kgugala> I just ran it from scratch with the git commits specified and it worked21:00
sf-slack<timo.callahan> Yep, counter_test now works.21:00
sf-slack<kgugala> (with the latest versions)21:00
*** enriq has joined #symbiflow21:01
sf-slack<timo.callahan> Next I'll see if I can run the Linux test on 100T without LOC on either IDELAYCTRL or PLL.21:01
sf-slack<kgugala> you can always open a PR with bumped versions and wait for CI :)21:02
sf-slack<timo.callahan> Yeah, true -- if I add the 100T test to the README, then tuttest/travis will pick it up, right?21:03
sf-slack<kgugala> yes, if you add it to the xc7 tests codeblock21:04
sf-slack<kgugala> tutests runs codeblocks, so if you create a new codeblock, you'd need to update the CI script21:04
sf-slack<timo.callahan> Got it.21:04
sf-slack<kgugala> but if you extend the exiting one, tuttest should pick it21:04
sf-slack<timo.callahan> Hmm, I must have another mismatch somewhere -- this is from symbiflow_synth:  `ERROR: TCL interpreter returned an error: invalid command name "getparam"`21:19
sf-slack<timo.callahan> I'll give it another try from scratch tomorrow...21:21
litghosttimo.callahan: Can you open a draft PR with what you have so far?21:23
*** TMM has quit IRC21:26
*** TMM has joined #symbiflow21:26
sf-slack<timo.callahan> @litghost https://github.com/SymbiFlow/symbiflow-examples/pull/5022:00
tpbTitle: Attempt to roll tarball and Yosys packages for symbiflow-examples. by tcal-x · Pull Request #50 · SymbiFlow/symbiflow-examples · GitHub (at github.com)22:00
*** epony has quit IRC23:41
*** epony has joined #symbiflow23:42

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!