Wednesday, 2020-05-20

*** tpb has joined #symbiflow00:00
sf-slack2<timo.callahan> @ric96 -- quick and maybe not useful answer, but yes, I've booted Linux on Litex gateware from prjxray/symbiflow.  Which instructions are you using?00:04
sf-slack2<timo.callahan> I pulled recent changes on symbiflow-arch-defs under my additions for 100T, and now I see this error.   Is there something I need to clean or reset?00:06
sf-slack2<timo.callahan> `100%] Built target file_xc_xc7_archs_artix7_100t_tiles_slicel_slicel.pb_type.xml [100%] Built target file_xc_xc7_archs_artix7_100t_tiles_slicel_slicel.model.xml [100%] Generating slicel.tile.xml`00:06
sf-slack2<timo.callahan> Traceback (most recent call last):   File "/home/tcal/2nd-tcal-x/symbiflow-arch-defs/xc/common/utils/prjxray_physical_tile_import.py", line 195, in <module>     main()   File "/home/tcal/2nd-tcal-x/symbiflow-arch-defs/xc/common/utils/prjxray_physical_tile_import.py", line 191, in main     import_physical_tile(args)   File00:06
sf-slack2"/home/tcal/2nd-tcal-x/symbiflow-arch-defs/xc/common/utils/prjxray_physical_tile_import.py", line 142, in import_physical_tile     add_pinlocations(tile_name, sub_tile_xml, fc_xml, pin_assignments, ports)   File "/home/tcal/2nd-tcal-x/symbiflow-arch-defs/utils/lib/pb_type_xml.py", line 55, in add_pinlocations     for side in pin_assignments['pin_directions'][tile_name][pin]: KeyError: 'SLICEL'00:06
sf-slack2xc/xc7/archs/artix7_100t/tiles/slicel/CMakeFiles/file_xc_xc7_archs_artix7_100t_tiles_slicel_slicel.tile.xml.dir/build.make:95: recipe for target 'xc/xc7/archs/artix7_100t/tiles/slicel/slicel.tile.xml' failed00:06
litghostacomodi's change to how SLICEL/M are imported was merged00:10
litghostI suspect you need to apply some of the changes from that PR to your PR00:11
*** syed has quit IRC00:18
*** syed has joined #symbiflow00:21
sf-slack2<timo.callahan> Maybe I forgot to do a `make all_conda` after I pulled the changes.   Let me give that a quick try....00:32
litghosttimo.callahan: https://github.com/SymbiFlow/symbiflow-arch-defs/pull/1484 is the PR I was thinking of00:33
tpbTitle: xc7: avoid splitting CLBs by acomodi · Pull Request #1484 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)00:33
litghostI believe https://github.com/SymbiFlow/symbiflow-arch-defs/pull/1484/files#diff-496a133e3b8ce0856128264ee020c3ffR5 is the type of changes you need to make00:34
tpbTitle: xc7: avoid splitting CLBs by acomodi · Pull Request #1484 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)00:34
sf-slack2<timo.callahan> Ah yes yes, stuff in my uncommitted code that needs updates.   Got it.00:42
*** futarisIRCcloud has quit IRC01:15
mithroric96: Are you @sahajsarup on twitter?01:20
*** citypw has joined #symbiflow02:30
*** Degi has quit IRC03:14
*** Degi has joined #symbiflow03:15
*** Bertl is now known as Bertl_zZ03:22
ric96mithro: yes03:23
*** andrewb1999 has quit IRC03:56
ric96sf-slack2: the litex soc is from https://github.com/SymbiFlow/symbiflow-examples and i use the vexriscv prebuilt images04:22
tpbTitle: GitHub - SymbiFlow/symbiflow-examples (at github.com)04:22
*** madushan1000[m] has quit IRC04:55
*** madushan1000[m] has joined #symbiflow04:55
*** az0re has quit IRC05:13
*** az0re has joined #symbiflow05:25
*** _whitelogger has quit IRC05:30
*** _whitelogger has joined #symbiflow05:32
*** OmniMancer1 has joined #symbiflow06:15
*** OmniMancer has quit IRC06:18
*** madushan1000[m] has quit IRC06:58
*** madushan1000[m] has joined #symbiflow06:58
*** futarisIRCcloud has joined #symbiflow07:26
*** syed has quit IRC07:38
*** gsmecher has quit IRC07:39
*** gsmecher has joined #symbiflow07:40
*** gsmecher has quit IRC08:06
*** gsmecher has joined #symbiflow08:10
*** kraiskil has joined #symbiflow08:30
*** kraiskil_ has joined #symbiflow08:32
*** kraiskil has quit IRC08:35
*** celadon has quit IRC08:45
sf-slack2<acomodi> ric96: This may be an issue with the Linux images. I am currently using this ones https://we.tl/t-e8Uq147RAD (The problem is that I have generated them quite a while ago and I do not remember their origin)08:59
ric96sf-slack2: any idea on the commit id? I can build09:05
sf-slack2<acomodi> ric96: I was able to regenerate working Linux images for the litex-linux example from https://github.com/timvideos/litex-buildenv (commit 17e230ec8af0838060d12e3d810a448825d13fd2) and following the Linux wiki (https://github.com/timvideos/litex-buildenv/wiki/Linux)09:38
tpbTitle: GitHub - timvideos/litex-buildenv: An environment for building LiteX based FPGA designs. Makes it easy to get everything you need! (at github.com)09:39
ric96sf-slack2: acomodi: sure, I am using from their prebuilt repo, and these images aren't booting for me https://github.com/litex-hub/linux-on-litex-vexriscv-prebuilt09:42
tpbTitle: GitHub - litex-hub/linux-on-litex-vexriscv-prebuilt: Prebuilt bitstreams / linux images for litex-on-litex-vexriscv repository (at github.com)09:42
sf-slack2<acomodi> ric96: yeah, I have tried some of those commits, but they don't seem to work for me as well. I guess that they are incompatible for the current litex-linux design in symbiflow-examples09:53
sf-slack2<acomodi> ric96: FYI, I have opened a PR in symbiflow-examples to add the working Linux binaries: https://github.com/SymbiFlow/symbiflow-examples/pull/810:15
tpbTitle: linux: add pre-built compatible images for the litex-linux example by acomodi · Pull Request #8 · SymbiFlow/symbiflow-examples · GitHub (at github.com)10:15
ric96sf-slack2: acomodi awesome!10:27
*** Bertl_zZ is now known as Bertl12:38
*** craigo has quit IRC12:46
*** andrewb1999 has joined #symbiflow13:12
*** kuldeep has joined #symbiflow13:38
*** syed has joined #symbiflow13:40
*** syed has quit IRC13:48
*** AAGandomi has joined #symbiflow13:52
*** AAGandomi has quit IRC13:55
*** syed has joined #symbiflow13:59
*** syed has quit IRC14:01
*** kraiskil_ has quit IRC14:20
*** kraiskil_ has joined #symbiflow14:36
sf-slack2<timo.callahan> Hmm, in my 100T work, I must have missed something...or, I copied something from 50T when I should have copied from 200T.   I get this error:  `Type: Architecture file File: /tmp/really-really-really-really-really-really-really-really-really-really-really-really-really-long-path/conda/conda-bld/vtr_1589474563511/work/vpr/src/util/vpr_utils.cpp Line: 2318 Message: *Unable to find port CLBLM_L_COUT (on block15:41
sf-slack2BLK-TL-CLBLM_L).*`  Surrounding printouts show both `BLK-TL-SLICEL/M` and `BLK-TL-CLBLL/M_L/R` under "Resource usage...", but only `BLK-TL-SLICEL/M` under "Device Utilization".    I will try a 35T and compare the logs.15:41
*** FFY00 has quit IRC15:53
*** FFY00 has joined #symbiflow15:54
ric96sf-slack2: @acomodi hmmm i'm still stuck at15:58
ric96```15:58
ric96--============= Liftoff! ===============--15:58
ric96**15:58
ric96```15:58
ric96This is using the we.tl images and symbiflow-examples bit15:58
sf-slack2<timo.callahan> @ric96 which approach are you using for loading the Linux images?   lxterm --images, tftp (netboot), or flashing?15:59
ric96sf-slack2: timo.callahan: lxterm, serial16:02
ric96Images load fine, or so it seems16:02
sf-slack2<acomodi> Can you please print the images.json file?16:05
sf-slack2<timo.callahan> I think there have been some changes at the offsets of the different .... ha yes what @acomodi asks.   The offsets in images.json must match the gateware version.16:06
sf-slack2<acomodi> It may be a wrong memory offsets16:06
sf-slack2<acomodi> @timo.callahan Yep, exactly16:06
*** citypw has quit IRC16:37
sf-slack2<timo.callahan> I think I found my 100T issue.   From the 35T VPR log: Device Utilization: 0.00 (target 1.00)         Physical Tile BLK-TL-CLBLL_L:         Block Utilization: 0.02 Logical Block: BLK-TL-SLICEL         Physical Tile BLK-TL-CLBLL_R:         Block Utilization: 0.02 Logical Block: BLK-TL-SLICEL         Physical Tile BLK-TL-CLBLM_L:         Block Utilization: 0.02 Logical Block: BLK-TL-SLICEL         Block16:45
sf-slack2Utilization: 0.00 Logical Block: BLK-TL-SLICEM         Physical Tile BLK-TL-CLBLM_R:         Block Utilization: 0.02 Logical Block: BLK-TL-SLICEL         Block Utilization: 0.00 Logical Block: BLK-TL-SLICEM From my 100T log: Device Utilization: 0.00 (target 1.00)         Physical Tile BLK-TL-CLBLL_L:         Block Utilization: 0.00 Logical Block: BLK-TL-SLICEL         Physical Tile BLK-TL-CLBLL_R:         Block Utilization:16:46
sf-slack20.00 Logical Block: BLK-TL-SLICEL         Physical Tile BLK-TL-CLBLM_L:         Block Utilization: 0.00 Logical Block: BLK-TL-SLICEM         Physical Tile BLK-TL-CLBLM_R:         Block Utilization: 0.00 Logical Block: BLK-TL-SLICEM So I'm missing the SLICELs in my CLBLMs.16:46
sf-slack2<acomodi> @timo.callahan https://github.com/SymbiFlow/symbiflow-arch-defs/blob/master/xc/xc7/archs/artix7/tiles/clblm_l/CMakeLists.txt This is an example of a CLBLM tile definition. The SLICEM site defines, after the `/` a set of equivalent sites for it, in this case, the SLICEL16:49
tpbTitle: symbiflow-arch-defs/CMakeLists.txt at master · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)16:49
sf-slack2<timo.callahan> Yes @acomodi  exactly, I had hand-edited that incorrectly.16:50
sf-slack2<timo.callahan> ...thanks!   fingers crossed it works this time16:54
sf-slack2<acomodi> ric96: FYI, the images.json should look like this http://snippi.com/s/e139yip17:07
tpbTitle: Snippi (at snippi.com)17:07
ric96@acomodi thanks, mine is mich different, hopefully this fixes it. I'll check it in a bit.17:08
-_whitenotifier-c- [symbiflow-arch-defs] acomodi opened issue #1496: Changes in miniconda version generate conda env issues - https://git.io/Jfgq517:12
andrewb1999acomodi: Building the pynqz1 buttons test using the branch you sent yesterday is crashing for me17:15
andrewb1999acomodi: AssertionError: ('BRAM_INT_INTERFACE_L_X6Y0', 'BRAM_INT_INTERFACE_L', 'INT_INTERFACE_LOGIC_OUTS_L_B0', 189)17:15
andrewb1999When building channels.db17:16
andrewb1999Any ideas on what's going wrong here?17:16
sf-slack2<acomodi> andrewb1999: yes, I think that the solution would be to decouple the two architecture definitions in two separate root dirs (zynq010 and zynq020). This because the generic channels.db is shared both for the z010 and z020, hence, when building the z020, the errors you see are produced17:18
sf-slack2<acomodi> It should be similar to what has been done for artix7 and artix_200t17:18
andrewb1999acomodi: Okay I will look into doing that17:19
*** OmniMancer1 has quit IRC17:41
*** kraiskil_ has quit IRC18:02
*** kraiskil_ has joined #symbiflow18:14
*** FFY00 has quit IRC18:35
*** FFY00 has joined #symbiflow18:36
*** FFY00 has quit IRC19:01
*** FFY00 has joined #symbiflow19:01
ric96@acomodi: the address change in image.json worked! it boots!19:28
*** craigo has joined #symbiflow20:16
*** _whitelogger has quit IRC20:26
*** _whitelogger has joined #symbiflow20:29
*** _whitelogger has quit IRC20:33
*** _whitelogger has joined #symbiflow20:35
*** _whitelogger has quit IRC20:36
*** _whitelogger has joined #symbiflow20:38
*** _whitelogger has quit IRC20:39
*** _whitelogger has joined #symbiflow20:41
*** _whitelogger has quit IRC20:42
*** FFY00 has quit IRC20:42
*** _whitelogger has joined #symbiflow20:44
*** FFY00 has joined #symbiflow20:44
sf-slack2<timo.callahan> Q: Does PrjXray support "BUFR"?21:04
litghostI believe so21:06
litghostI added fuzzers for it21:06
litghostI don't know if symbiflow-arch-defs integrated it21:06
litghostNow that acomodi's heterogeneous VPR feature is landed, in theory you should be able to just ask the tile import script to import it21:07
sf-slack2<timo.callahan> I tried using it in the counter test, and it complained "Failed to find matching architecture model for  'BUFR'".    I wondered if I need to use arty-full instead of arty-swbut.   But I'll see if I can do as you describe, add it in the right place.21:09
sf-slack2<timo.callahan> I had previously tried BUFG, which doesn't work with arty-swbut but does work with arty-full in my observation.21:10
*** OmniMancer has joined #symbiflow21:17
litghostarty-swbut is an ROI based graph, which has no clock elements21:30
litghostarty-full is the full graph, which supports clock elements21:30
litghostTo be clear BUFG and BUFR are different black boxes21:30
litghostBUFG support is well tested21:30
litghostBUFR support is not21:31
*** kraiskil_ has quit IRC21:52
*** andrewb1999 has quit IRC22:36
sf-slack2<timo.callahan> Thanks @litghost!22:50
*** andrewb1999 has joined #symbiflow23:22

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!