Thursday, 2020-04-16

*** tpb has joined #symbiflow00:00
FFY00so we would just maintain packages for our projects00:01
FFY00so we wouldn't be maintaining an ecosystem00:02
FFY00does that make sense?00:02
FFY00but yeah, if conda works fine then this is not needed00:08
*** Degi has quit IRC00:23
*** Degi has joined #symbiflow00:24
*** acomodi has quit IRC00:31
daniellimwsmithro: Which branch do I rebase my branch to? To get the readthedocs build01:29
mithro@daniellimws Looks like it is already working01:30
daniellimwsmithro: The build failed :( And I should force push or something to trigger it on the docs-clock branch?01:31
-_whitenotifier-3- [python-symbiflow-v2x] mithro opened issue #43: readthedocs run fails with "TypeError: connect() got an unexpected keyword argument 'priority'" - https://git.io/JfvpJ01:31
mithro@daniellimws Seems to be happening on head to https://github.com/SymbiFlow/python-symbiflow-v2x/issues/4301:32
mithrodaniellimws: Can you see https://readthedocs.org/projects/python-symbiflow-v2x/builds/10845965/ ?01:34
daniellimwsmithro: Yes I see "build failed". Is that correct?01:35
mithrodaniellimws: Can you see the logs?01:35
daniellimwsmithro: Yep I can01:35
mithrodaniellimws: I think it's a sphinx version issue?01:38
*** citypw has joined #symbiflow01:44
daniellimwsmithro: This looks weird, I didn't even change anything related to sphinx01:48
mithrodaniellimws: Its also happening in master01:48
mithrodaniellimws: Testing to see if https://github.com/SymbiFlow/python-symbiflow-v2x/pull/44 has any affect01:50
tpbTitle: Require latest version of sphinx. by mithro · Pull Request #44 · SymbiFlow/python-symbiflow-v2x · GitHub (at github.com)01:50
mithro@daniellimws Appears not :-/01:53
mithrodaniellimws: Was a shot in the dark, but thought it was low effort to try01:53
mithrodaniellimws: I've repoed locally01:58
daniellimwsmithro: Oh nice, is it a problem with the version?02:05
mithrohttps://github.com/readthedocs/readthedocs.org/issues/687002:05
daniellimwsAre you going to try version 2.2.2?02:08
*** _whitelogger has quit IRC02:15
mithrodaniellimws: BTW Regarding your comment "Doesn't a D-flipflop only have input D and output Q? Should we rename this to just flipflop instead of D-flipflop?" -- I'm actually not sure :-P02:16
daniellimwsmithro: Haha ok let's see what the other reviewers say about it02:16
*** _whitelogger has joined #symbiflow02:17
mithrodaniellimws: I think I just used the name the upstream used....02:17
daniellimwsYeah, the verilog modules also start with dff02:21
mithrodaniellimws: I'm going to add you as a collaborator to the python-symbiflow-v2x repo so you can take issues and assign them to yourself if you start working on them02:31
mithrodaniellimws: Do you have a Fomu?02:31
daniellimwsmithro: Nope :p Looks really cool though02:31
mithrodaniellimws: Would you like one?02:31
daniellimwsmithro: Oh definitely :D02:32
mithrodaniellimws: Send me an email with a postal address and phone number and I'll hook you up02:32
daniellimwsmithro: Especially since I'm returning my FPGA board to the school now02:32
mithrodaniellimws: Generally, people who contribute and need hardware tend to find it turning up :-)02:33
daniellimwsmithro: That's really awesome02:33
mithrodaniellimws: [email protected]02:34
mithrodaniellimws: What FPGA board did you have through Uni? My guess is an Arty?02:35
mithrodaniellimws: Looks like this pull request fixed it -> https://github.com/SymbiFlow/python-symbiflow-v2x/pull/4502:35
tpbTitle: Add the readthedocs dependencies to environment.yml by mithro · Pull Request #45 · SymbiFlow/python-symbiflow-v2x · GitHub (at github.com)02:35
daniellimwsmithro: It was a basys3 dev board, so far only had this one semester to use it, and the semester is ending02:36
mithroI don't recommend going to this page -> https://joelw.id.au/FPGA/CheapFPGADevelopmentBoards -- You'll end up very poor if you are anything like me :-P02:36
tpbTitle: Cheap FPGA Development Boards | Joel's Compendium of Total Knowledge (at joelw.id.au)02:36
daniellimwsmithro: And it was annoying that I had to download a 20GB toolchain for simple projects, and also a virtual machine since I'm on Mac02:37
daniellimwsmithro: Hope symbiflow settles this problem02:37
mithro@daniellimws: That is our goal!02:37
daniellimwsmithro: I'll merge #45, ok?02:41
mithrodaniellimws: You should rebase your changes on top of master now #45 is merged02:44
*** az0re has quit IRC02:54
*** az0re has joined #symbiflow02:54
*** gsmecher has joined #symbiflow02:55
mithroFFY00: Did I ever share https://docs.google.com/spreadsheets/d/1B2pXefk0tOIx0h-JO_e1SP3JQsKQUqseBLpVMRH8aa4/edit#gid=1527456559 with you?02:57
tpbTitle: LiteX / SymbiFlow / TimVideos Conda Packages Usage - Google Sheets (at docs.google.com)02:57
FFY00nop02:58
mithroFFY00: That is kinda of a matrix of what uses what packages02:58
mithro(See the multiple sheets)02:59
FFY00btw, you can make gcc link to a different libc than the default one03:00
FFY00is there any reason this is not done?03:01
FFY00it would save a lot of gcc builds03:01
mithroFFY00: It's more complicated than that, there are a lots of subtile dependencies when you start talking about libc, calling conventions, libgcc / soft float / etc03:02
FFY00hum03:02
FFY00what about linux vs elf with the same libc?03:03
mithroYou mean baremetal verse linux?03:04
FFY00yes03:04
FFY00do we still need 2 gcc?03:04
mithroFFY00: Yes, baremetal and linux can have different calling conventions and stuff like syscall stuff03:04
mithroFFY00: Learned this the hard way through many lost hours of pulling hair out03:05
FFY00yeah03:05
FFY00what about riscv64 with multilib support?03:06
FFY00do we still need riscv32>03:06
FFY00you should be able to just pass -m3203:07
mithrohttps://wiki.osdev.org/Libgcc_without_red_zone03:09
tpbTitle: Libgcc without red zone - OSDev Wiki (at wiki.osdev.org)03:09
mithroFFY00: generally things /should/ work a multilib support is enabled but it is surprising how many things still cause headaches...03:10
FFY00right03:11
FFY00one riscv dev was asking why I put riscv64 and riscv32 in the repos03:11
FFY00is there something in particular I should be getting from that wiki page?03:13
FFY00I think I missed it03:13
mithroFFY00: An example of some complexity when dealing with various options03:15
FFY00I got that part03:16
FFY00but as long as support is there it should work03:16
FFY00the question is03:16
FFY00are you expecting a riscv32 instead of riscv64 when compiling things?03:17
mithroFFY00: Most of our riscv targets are 32bit rather than 64bit03:18
FFY00right, but does the build system support using a riscv64 compiler?03:19
FFY00because I need to provide some sort of justification to have it in the repos03:19
mithroFFY00: yes, I believe it does03:20
FFY00okay, so I can remove it03:21
FFY00if needed in the future I still have the build scripts so I can just build it again03:21
mithrohttps://wiki.osdev.org/OS_Specific_Toolchain and https://wiki.osdev.org/Creating_a_C_Library have a lot of interesting information about things in this area too03:22
tpbTitle: OS Specific Toolchain - OSDev Wiki (at wiki.osdev.org)03:22
FFY00thanks03:23
FFY00I totally forgot this was in osdev03:23
FFY00what was the usb implementation you were telling me about?03:23
FFY00I think I know it but I can't remember the name03:24
mithroFFY00: ValentyUSB? daisho?03:29
mithroLuna?03:29
*** space_zealot has quit IRC03:29
FFY00yes03:30
FFY00valentyusb03:30
FFY00is this the one you told me about in the meeting?03:30
mithrohttps://github.com/im-tomu/valentyusb03:30
tpbTitle: GitHub - im-tomu/valentyusb: FPGA USB stack written in LiteX (at github.com)03:30
*** space_zealot has joined #symbiflow03:30
mithroFFY00: ValentyUSB is USB1.1 -- for USB3.0 you want the daisho (https://github.com/enjoy-digital/usb3_pipe) and in the future LUNA stuff that ktemkin is working on03:31
tpbTitle: GitHub - enjoy-digital/usb3_pipe: USB3 PIPE interface for Xilinx 7-Series / Lattice ECP5 (at github.com)03:31
mithroFFY00: xobs did a lot of the work on ValentyUSB and foboot -> https://github.com/im-tomu/foboot03:32
tpbTitle: GitHub - im-tomu/foboot: Bootloader for Fomu (at github.com)03:32
mithroGoing to have some dinner, might be back later, might not03:34
FFY00I might be able to help with HID stuff if you are interested03:35
FFY00I'll probably leave soon03:35
*** space_zealot has quit IRC03:35
*** gsmecher has quit IRC03:48
sf-slack<timo.callahan> @kgugala please disregard my earlier message, I was trying to use the git flow from my previous job :)04:01
*** Bertl_oO is now known as Bertl_zZ04:13
*** titanbiscuit has quit IRC05:35
*** titanbiscuit has joined #symbiflow05:36
*** _whitelogger has quit IRC05:48
*** _whitelogger has joined #symbiflow05:50
*** OmniMancer has quit IRC06:37
*** OmniMancer has joined #symbiflow06:39
*** kraiskil has joined #symbiflow07:57
*** kraiskil has quit IRC08:02
*** kraiskil has joined #symbiflow08:14
*** kraiskil has quit IRC09:15
*** kraiskil has joined #symbiflow09:28
*** kraiskil has quit IRC10:28
*** space_zealot has joined #symbiflow10:31
*** kraiskil has joined #symbiflow10:41
*** kraiskil has quit IRC10:49
*** Bertl_zZ is now known as Bertl11:00
*** kraiskil has joined #symbiflow11:04
*** kraiskil has quit IRC11:33
-_whitenotifier-3- [symbiflow-arch-defs] rakeshm75 opened issue #1424: Branch : Quicklogic : Error during generation of top_bit.v file - https://git.io/Jffsy11:45
*** kraiskil has joined #symbiflow11:45
*** space_zealot has quit IRC12:43
*** fengling has quit IRC12:44
*** space_zealot has joined #symbiflow14:39
*** kraiskil has quit IRC14:41
*** kraiskil has joined #symbiflow14:53
*** space_zealot has quit IRC14:53
*** OmniMancer has quit IRC14:56
*** proteus-guy has quit IRC14:57
*** proteus-guy has joined #symbiflow15:01
*** nonlinear has joined #symbiflow15:18
*** nonlinear1 has quit IRC15:18
*** gsmecher has joined #symbiflow15:36
*** citypw has quit IRC15:39
*** Bertl is now known as Bertl_oO15:48
*** FFY00 has quit IRC16:03
*** FFY00 has joined #symbiflow16:04
*** futarisIRCcloud has quit IRC16:34
-_whitenotifier-3- [symbiflow-arch-defs] acomodi opened issue #1425: Cannot pack MUXF8 with new yosys master+wip - https://git.io/Jffay16:50
*** lopsided98 has quit IRC17:40
*** lopsided98 has joined #symbiflow17:41
-_whitenotifier-3- [python-symbiflow-v2x] mithro opened issue #47: Figure out how to skip copyright header in verilog output in rendered Sphinx docs - https://git.io/JffwA17:43
-_whitenotifier-3- [python-symbiflow-v2x] mithro opened issue #48: Figure out how to use netlistsvg with single whitebox modules - https://git.io/Jffrv17:46
*** space_zealot has joined #symbiflow17:48
-_whitenotifier-3- [python-symbiflow-v2x] mithro opened issue #49: Add symbolator rendered module blocks to the documentation - https://git.io/JffrT17:50
*** space_zealot has quit IRC18:17
-_whitenotifier-3- [python-symbiflow-v2x] mithro opened issue #50: Come up with a good "template" for documentation of the tests - https://git.io/Jffom18:29
*** space_zealot has joined #symbiflow19:24
*** space_zealot has quit IRC19:41
-_whitenotifier-3- [fpga-tool-perf] mithro opened issue #67: Make sure kokoro is using the symbiflow-kokoro user on this repo - https://git.io/Jff6119:42
mithro@acomodi: Great work on getting the tar of the automatic generation of the tarball pull request merged! https://github.com/SymbiFlow/symbiflow-arch-defs/pull/1406#event-323851886719:44
tpbTitle: install: create tar of the installed toolchain by acomodi · Pull Request #1406 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)19:44
mithro@acomodi: How big are the resulting output?19:44
mithroLooks like 800mb -> https://console.cloud.google.com/storage/browser/symbiflow-arch-defs/artifacts/prod/foss-fpga-tools/symbiflow-arch-defs/continuous/install/4/20200416-002215/19:48
tpbTitle: Google Cloud Platform (at console.cloud.google.com)19:48
*** ayazar has joined #symbiflow20:02
*** space_zealot has joined #symbiflow20:05
*** adjtm has quit IRC20:19
-_whitenotifier-3- [python-symbiflow-v2x] mithro opened issue #51: Add a `make format` and `make format-check` to the repository. - https://git.io/JffP120:31
-_whitenotifier-3- [python-symbiflow-v2x] mithro opened issue #52: Add verible's Verilog auto-formatter to the repository - https://git.io/JffPy20:33
-_whitenotifier-3- [python-symbiflow-v2x] mithro opened issue #53: Make sure that a `v2x` binary is installed using setup.py's script entry points - https://git.io/JffPx20:37
*** space_zealot has quit IRC20:37
-_whitenotifier-3- [python-symbiflow-v2x] mithro opened issue #54: Make sure the contents of this `README.md` appear in the readthedocs output - https://git.io/JffPp20:38
-_whitenotifier-3- [python-symbiflow-v2x] mithro opened issue #55: Make sure info in @kgugala's talk is in the v2x documentation - https://git.io/JffXe20:40
*** OmniMancer has joined #symbiflow21:15
*** OmniMancer1 has joined #symbiflow21:16
*** OmniMancer has quit IRC21:19
sf-slack<acomodi> mithro: yep, around 850M, will check tomorrow if everything is all right with the package by using that in tool-perf21:25
*** space_zealot has joined #symbiflow21:34
sf-slack<pgielda> decompressed its 12G21:43
sf-slack<pgielda> most of it /share21:43
sf-slack<pgielda> and most of share is21:43
sf-slack<pgielda> 2674296./arch/xc7a50t-bottom_test 3274000./arch/xc7z010_test 5878852./arch/xc7a50t_test21:44
sf-slack<pgielda> is this needed in the install package?21:46
sf-slack<pgielda> we also have things like21:46
sf-slack<pgielda> ./prjxray/prjxray-db/.travis21:46
sf-slack<pgielda> probably not needed as well21:46
sf-slack<acomodi> so, the bottom_test is actually not needed, and definitely neither the travis file. I think also the xray-db files are not needed as they can be downloaded separately21:49
litghostpgielda: The only thing that are needed are the final arch.xml, the final rrgraph.capnp (and maybe the .rrgraph.xml), the lookahead.capnp and place_delay.capnp, plus a handful of other small files21:50
litghostpgielda: E.g. pinmap files21:50
*** adjtm has joined #symbiflow21:51
sf-slack<acomodi> I'll get ready a follow-up PR to have only what is needed21:51
litghostacomodi:  If we want to keep the rrgraph.xml in the tarball, I suggest compressing it before going into the tarball, and the user can decompress it if they need it21:54
*** kraiskil has quit IRC21:54
*** space_zealot has quit IRC21:56
sf-slack<acomodi> litghost: Ok, makes sense as it does not get used in VPR and we can save additional space, but still can be useful for debug purposes22:00
litghostBingo22:00
litghostIt is actually possible to generate the xml from the capnp using VPR directly, but it is convient to include if it compresses nicely, which I believe it will22:00
sf-slack<acomodi> Sure22:02
litghostOther option would be to provide a shell script to invoke VPR to generate the xml from the capnp22:04
sf-slack<acomodi> I'll check what is the best way to go. If regenerating the xml from capnp does not take too much time maybe that would be better, as for bigger parts, maybe even the compressed xml could occupy too much space22:07
litghostThe conversion command is roughly "vpr -read <capnp> -write <xml> -pack wire.eblif"22:09
litghostAll you need VPR to do is get far enough to attempt to read the RR graph22:09
litghostWhich is will do for the post-pack timing analysis22:09
duck2capnp could also compress well, it's created in the "unpacked" format and contains a lot of \0's. also metadata is text22:19
duck2sorry, forgot that it's going to end up in a tarball22:20
*** ayazar has quit IRC22:34
*** space_zealot has joined #symbiflow22:50
*** adjtm has quit IRC23:13
*** futarisIRCcloud has joined #symbiflow23:18
*** adjtm has joined #symbiflow23:27
*** space_zealot has quit IRC23:32
*** space_zealot has joined #symbiflow23:39

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!