Thursday, 2020-03-19

*** tpb has joined #symbiflow00:00
*** futarisIRCcloud has joined #symbiflow00:36
wallacejohnZirconiumX, mithro, hackerfoo: Thanks for the input on my first pull request; kmurray accepted it, and I've already submitted a second PR with more converted scripts. A couple of additional questions:01:07
wallacejohnI found Issue #16 under SymbiFlow/ideas (convert VtR Perl scripts to Python) and am interested in taking this on after finishing the 2to3 conversions. It looks like this issue hasn't been mentioned in almost two years -- is this still an active/relevant issue?01:07
wallacejohnAlso, I'm considering submitting a proposal for a GSoC project with you guys and am interested in SymbiFlow/ideas Issue #18 (Python BLIF/eBLIF library). Same question -- is this still relevant after two years of inactivity? Is the scope of this issue reasonable for a GSoC summer project?01:08
mithrowallacejohn: Great work!01:08
mithrowallacejohn: The Python BLIF / eBLIF library is still *currently* relevant, but it might become less relevant if our work on the Capn'Proto file format happens01:09
mithrowallacejohn: You are also welcome to propose your own ideas / projects -- the most successful students tend to be the ones who take the time to find something they are super excited to build and just happens to also fit something we are interested in.01:11
mithrowallacejohn: Did you find https://symbiflow.github.io/summer-of-code ?01:11
tpbTitle: SymbiFlow - the GCC of FPGAs (at symbiflow.github.io)01:11
mithrowallacejohn: You might also want to talk to kgugala about the vtr-flow conversion idea -- I believe they were working on doing a distributed version of it at https://github.com/antmicro/vtr-flow-distant01:13
tpbTitle: GitHub - antmicro/vtr-flow-distant (at github.com)01:13
mithrohttps://github.com/antmicro/distant-rec#verilog-to-routing01:15
tpbTitle: GitHub - antmicro/distant-rec (at github.com)01:15
mithrowallacejohn: Also updated an existing issue with more content / links / etc is always a good idea01:16
mithrowallacejohn: If your interest is file formats -- you might also be interested in https://docs.google.com/document/d/143ZHhMqAlA5EeVYRP6dUGUZJtsfgbZv_cC-wqvKeOcI/edit01:19
tpbTitle: FPGA Tooling Common Interchange Formats - Google Docs (at docs.google.com)01:19
wallacejohnmithro: Thanks for the links; yes, I found the SymbiFlow GSoC page. I have a wide array of interests and generally find it more difficult to narrow my focus, so I figured the ideas page would be a good place to start. I'll spend some time exploring those links. I do find file/data formats pretty interesting and would like to get involved in a related project as a means to learn more about the topic.01:44
*** Degi_ has joined #symbiflow02:17
*** Degi has quit IRC02:21
*** Degi_ is now known as Degi02:21
*** citypw has joined #symbiflow02:32
*** wallacejohn has quit IRC03:30
*** _whitelogger has quit IRC03:54
*** _whitelogger has joined #symbiflow03:56
*** _whitelogger has quit IRC05:21
*** _whitelogger has joined #symbiflow05:23
*** Bertl_oO is now known as Bertl_zZ05:45
*** _whitelogger has quit IRC05:57
*** _whitelogger has joined #symbiflow05:59
*** musazal has joined #symbiflow06:39
*** _whitelogger has quit IRC07:45
*** _whitelogger has joined #symbiflow07:47
*** _florent_ has quit IRC08:08
*** brent has quit IRC08:08
*** digshadow has quit IRC08:08
*** nickray has quit IRC08:08
*** daveshah has quit IRC08:08
*** digshadow has joined #symbiflow08:08
*** pdp7 has quit IRC08:09
*** brent has joined #symbiflow08:09
*** _florent_ has joined #symbiflow08:09
*** daveshah has joined #symbiflow08:09
*** nickray has joined #symbiflow08:09
*** pdp7 has joined #symbiflow08:10
*** musazal has quit IRC08:26
*** clay_1 has joined #symbiflow08:33
*** musazal has joined #symbiflow08:56
musazalHope you are all doing fine. I'm a third year Electrical Engineering student with interest in Computer Architecture and RISCV. Previously, I've done made a custom RV32I core in verilog for the last summer project and I'm interested in adding the f-extension. For now, I'm trying to work in SystemVerilog so that it can be moved further towards09:02
musazalverification. So, is there any project for GSoC that I can take part in keeping in mind background that I currently have. Any advice will be really appreciated.09:02
*** futarisIRCcloud has quit IRC09:15
*** _whitelogger has quit IRC10:12
*** _whitelogger has joined #symbiflow10:14
*** clay_1 has quit IRC10:14
*** musazal has quit IRC10:24
*** mkru has joined #symbiflow10:59
*** futarisIRCcloud has joined #symbiflow11:03
*** Bertl_zZ is now known as Bertl11:25
*** mkru has quit IRC11:35
sf-slack<adityasaini70> Hello everyone ! I'm an Electronics and Communication sophomore at IIITD, India. I'm familiar with Xilinx 7series FPGA architecture and have done a couple of projects with the Xilinx Artix-7 development board. I'm a GSOC aspirant and I wanted to talk about contributing to Project X-Ray. Can anybody help ??11:40
ZirconiumXadityasaini70: hello, I can try my best12:51
ZirconiumXIf you go back through the logs, there have been a fair few ideas thrown around, but it's important to note that there is much more to Symbiflow than just prjxray12:53
*** Bertl is now known as Bertl_oO13:18
*** OmniMancer1 has quit IRC13:33
*** alexhw_ has quit IRC13:34
*** alexhw has joined #symbiflow13:34
*** acomodi has joined #symbiflow13:34
*** _whitelogger has quit IRC13:50
*** _whitelogger_ has joined #symbiflow13:52
*** clay_1 has joined #symbiflow14:03
clay_1Good morning everyone !14:03
DegiI've written a rough summary for the ECP5 PCIe https://github.com/x44203/ECP5-PCIe14:03
tpbTitle: GitHub - x44203/ECP5-PCIe: ECP5 to PCIe interface development (at github.com)14:03
*** wallacejohn has joined #symbiflow14:22
*** boyancy_z has joined #symbiflow14:57
*** boyancy_z has quit IRC14:59
*** boyancy_z has joined #symbiflow15:00
*** wallacejohn has quit IRC15:11
*** boyancy_z has quit IRC15:32
*** citypw has quit IRC15:51
*** clay_1 has quit IRC15:54
hackerfooGood morning16:11
sf-slack<acomodi> :q16:18
sf-slack<acomodi> Sorry, wrong window16:18
*** Musazal has joined #symbiflow18:26
-_whitenotifier-3- [symbiflow.github.io] mithro opened issue #3: Broken link to student guide on Summer of Code page - https://git.io/Jv1NU19:14
*** celadon_ has joined #symbiflow19:33
*** celadon has quit IRC19:33
*** OmniMancer has joined #symbiflow20:22
mithroQotD: "The syntax diagnostics from the compiler made by Sue Graham's group at Berkeley were the most helpful I have ever seen - and they were generated automatically. At a syntax error the compiler would suggest a token that could be inserted that would allow parsing to proceed further. No attempt was made to explain what was wrong. The compiler taught me Pascal in an evening, with no manual at hand." -- Doug McIlroy -20:24
mithrohttps://minnie.tuhs.org/pipermail/tuhs/2020-March/020664.html20:24
tpbTitle: [TUHS] The most surprising Unix programs (at minnie.tuhs.org)20:24
*** acomodi has quit IRC21:14
lambdadaveshah: when trying to pnr litedram, I get "ERROR: IDELAYE2 'dram.litedram_inst.IDELAYE2' has IDATAIN input connected to illegal cell type IOBUF" - which, to be fair, it is, but I'm assuming that's supposed to legal or it wouldn't work for anyone.23:49
daveshahlambda: it sounds like the IOBUF isn't being split properly23:50
daveshahI'll take a look tomorrow if you can provide a netlist23:51
lambdadaveshah: sure thing, thanks a lot :)23:52
daveshahI guess this comes from the most recent litedram change to make DQS inout23:52
lambdathe signal in question it's complaining about is dq[0], but it'll probably be the same for dqs then23:54
daveshahOh no it won't be from that then, no idea what it would be23:55
lambda(rebuilding with latest litedram right now)23:57
daveshahI'm pretty sure this is a Yosys or nextpnr issue23:58
lambdaeven if, I don't want to be the one bothering people about bugs that are already fixed ;)23:59

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!