Friday, 2020-03-06

*** tpb has joined #symbiflow00:00
*** timeltd has quit IRC00:00
*** HEGAZY has quit IRC00:24
*** titanbiscuit has quit IRC01:30
*** titanbiscuit has joined #symbiflow01:33
*** titanbiscuit has quit IRC01:41
*** titanbiscuit has joined #symbiflow01:42
*** citypw has joined #symbiflow01:54
*** titanbiscuit has quit IRC01:59
*** titanbiscuit has joined #symbiflow02:00
*** titanbiscuit has quit IRC02:13
*** titanbiscuit has joined #symbiflow02:28
*** titanbiscuit has quit IRC02:33
*** titanbiscuit has joined #symbiflow02:34
*** titanbiscuit has quit IRC02:40
*** titanbiscuit has joined #symbiflow02:41
*** tbisker8 has joined #symbiflow02:45
*** titanbiscuit has quit IRC02:46
*** tbisker8 has quit IRC02:50
*** titanbiscuit has joined #symbiflow02:52
*** titanbiscuit has quit IRC02:55
*** titanbiscuit has joined #symbiflow02:55
*** citypw has quit IRC03:30
*** citypw has joined #symbiflow03:43
*** citypw has quit IRC03:48
*** citypw has joined #symbiflow04:02
*** proteus-guy has quit IRC04:53
*** OmniMancer has joined #symbiflow05:02
*** OmniMancer1 has joined #symbiflow05:03
*** OmniMancer has quit IRC05:06
-_whitenotifier-3- [sv-tests] hzeller opened issue #676: Kokoro tests not always succeed recently - https://git.io/JvwJI05:36
*** duck22 has joined #symbiflow06:01
*** duck2 has quit IRC06:03
*** nurelin_ has joined #symbiflow06:29
*** nurelin has quit IRC06:29
*** titanbiscuit has quit IRC06:41
*** titanbiscuit has joined #symbiflow06:44
*** az0re has quit IRC07:19
*** titanbiscuit has quit IRC07:20
*** titanbiscuit has joined #symbiflow07:25
*** Bertl_oO is now known as Bertl_zZ07:29
*** titanbiscuit has quit IRC07:46
*** OmniMancer1 has quit IRC07:48
*** titanbiscuit has joined #symbiflow07:57
*** tbisker8 has joined #symbiflow08:01
*** titanbiscuit has quit IRC08:01
*** tbisker8 has quit IRC08:10
-_whitenotifier-3- [prjxray-bram-patch] kgugala opened issue #10: Setup CI - https://git.io/JvwYm08:45
*** titanbiscuit has joined #symbiflow09:05
*** tbisker8 has joined #symbiflow09:11
*** titanbiscuit has quit IRC09:11
*** HEGAZY has joined #symbiflow09:12
*** timeltd has joined #symbiflow09:27
*** tbisker8 has quit IRC09:47
*** titanbiscuit has joined #symbiflow09:47
*** clay_1 has joined #symbiflow09:48
clay_1Hey hey people !09:48
*** titanbiscuit has quit IRC09:51
*** titanbiscuit has joined #symbiflow09:53
*** OmniMancer has joined #symbiflow10:07
*** HEGAZY has quit IRC10:09
*** OmniMancer1 has joined #symbiflow10:09
*** OmniMancer has quit IRC10:12
*** timeltd has quit IRC10:13
*** titanbiscuit has quit IRC10:22
*** titanbiscuit has joined #symbiflow10:47
*** titanbiscuit has quit IRC10:52
*** titanbiscuit has joined #symbiflow10:54
*** titanbiscuit has quit IRC10:57
*** timeltd has joined #symbiflow11:03
*** titanbiscuit has joined #symbiflow11:09
*** titanbiscuit has quit IRC11:12
*** titanbiscuit has joined #symbiflow11:13
*** HEGAZY has joined #symbiflow11:20
*** titanbiscuit has quit IRC11:20
*** proteusguy has quit IRC12:06
*** m_hackerfoo has quit IRC12:06
*** proteusguy has joined #symbiflow12:09
*** m_hackerfoo has joined #symbiflow12:10
*** timeltd has quit IRC12:15
*** titanbiscuit has joined #symbiflow12:16
*** timeltd has joined #symbiflow12:17
*** titanbiscuit has quit IRC12:22
-_whitenotifier-3- [yosys] kkumar23 opened issue #60: Branch : quicklogic : Issue while loading the edf file on spde "$_dlatch_p_.edi' " - https://git.io/Jvw8T12:27
*** titanbiscuit has joined #symbiflow12:28
*** timeltd has quit IRC12:43
*** timeltd has joined #symbiflow12:44
*** timeltd has quit IRC12:51
*** tbisker8 has joined #symbiflow12:55
*** titanbiscuit has quit IRC12:56
*** tbisker8 has quit IRC12:58
*** titanbiscuit has joined #symbiflow12:59
*** titanbiscuit has quit IRC13:04
*** titanbiscuit has joined #symbiflow13:05
*** Bertl_zZ is now known as Bertl13:28
*** titanbiscuit has quit IRC13:34
*** titanbiscuit has joined #symbiflow13:34
*** titanbiscuit has quit IRC13:58
*** titanbiscuit has joined #symbiflow14:22
*** HEGAZY has quit IRC14:29
*** titanbiscuit has quit IRC14:35
*** titanbiscuit has joined #symbiflow14:36
*** titanbiscuit has quit IRC14:42
*** titanbiscuit has joined #symbiflow14:42
*** titanbiscuit has quit IRC14:47
*** titanbiscuit has joined #symbiflow14:52
*** titanbiscuit has quit IRC14:56
clay_1I cloned symbiflow and tried to run the fasm2bels14:56
clay_1So far  I tried running python3 fasm2bels.py14:56
*** titanbiscuit has joined #symbiflow14:57
clay_1but this got me errors14:57
clay_1In the read me I saw that the correct format is python3 -mfasm2bels <options> <verilog> <tcl>14:57
clay_1so <verilog> and <tcl> should be the specified by me outut files14:58
clay_1and options should be  - `--connection_database` , `--db_root`, `--part` ,'fasm_file` separated by space ?14:59
*** acanis has joined #symbiflow15:01
*** tbisker8 has joined #symbiflow15:02
*** titanbiscuit has quit IRC15:03
*** clay_1 has quit IRC15:32
*** proteus-guy has joined #symbiflow15:37
*** clay_1 has joined #symbiflow15:43
*** citypw has quit IRC15:59
litghostYes?16:00
clay_1so I should write something like python3 -mfasm2bels /x1 /x2  xc7a35tcpg236-1 file.fasm file.v file.xdc   ?16:06
*** Bertl is now known as bertl_oO16:14
*** bertl_oO is now known as Bertl_oO16:14
-_whitenotifier-3- [conda-packages] acomodi opened issue #79: Yosys package cannot be found - https://git.io/Jvw2816:17
*** OmniMancer1 has quit IRC16:22
lambdagetting a prjxray.fasm_assembler.FasmInconsistentBits exception from fasm2frames.py on nextpnr-xilinx output - specifically, LIOB33_X0Y137.IOB_Y1.LVCMOS33_LVTTL.DRIVE.I12_I16 and LIOB33_X0Y137.IOB_Y1.LVCMOS12_LVCMOS15_LVCMOS18_LVCMOS25_LVCMOS33_LVTTL_SSTL135.IN_ONLY are colliding.17:08
lambdawhich is weird, since the port that's bound to that site is a button input used as a clock, definitely not an output17:12
lambdadaveshah: any ideas?17:14
lambda(here's the JSON and XDC: https://misc.xiretza.xyz/repro/bit_conflict.tar.gz)17:18
daveshahSounds like something is broken in nextpnr I'll have a look but it would be tomorrow17:25
lambdadaveshah: I just realized what's going on - I didn't have all my ports in the .xdc, so nextpnr decided to put an output on the same site as my clock input. constrained all my ports, now everything works17:26
daveshahAh that makes sense, it's probably something odd like double counting IO sites due to the different modes17:27
*** clay_1 has quit IRC17:55
-_whitenotifier-3- [prjxray] mithro opened issue #1264: htmlgen - KeyError: 'XRAY_ROI' - https://git.io/Jvwr218:41
*** az0re has joined #symbiflow19:31
*** Usama_Minhaj has joined #symbiflow19:44
*** Usama_Minhaj has quit IRC19:51
*** vup has quit IRC20:03
*** vup has joined #symbiflow20:05
*** HEGAZY has joined #symbiflow21:08
*** ZirconiumX has quit IRC21:11
*** Vonter_ has quit IRC21:11
*** kgugala has quit IRC21:11
*** yeti has quit IRC21:11
*** josi9824 has quit IRC21:11
*** ZirconiumX has joined #symbiflow21:12
*** Vonter_ has joined #symbiflow21:12
*** kgugala has joined #symbiflow21:12
*** yeti has joined #symbiflow21:12
*** josi9824 has joined #symbiflow21:12
*** az0re has quit IRC21:13
*** vklar has joined #symbiflow21:37
*** vklar has quit IRC21:41
*** josi9824 has quit IRC21:59
*** HEGAZY has quit IRC22:03
*** az0re has joined #symbiflow22:34
*** timeltd has joined #symbiflow22:52
*** digshadow has quit IRC22:53
*** digshadow has joined #symbiflow22:53
*** acanis has quit IRC22:57

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!