Monday, 2020-03-02

*** tpb has joined #symbiflow00:00
*** tpb has joined #symbiflow00:11
*** benelson has joined #symbiflow00:56
*** benelson has quit IRC01:00
*** benelson has joined #symbiflow01:22
*** benelson has quit IRC01:27
*** benelson has joined #symbiflow01:31
*** citypw_ has joined #symbiflow01:40
*** benelson has quit IRC01:47
*** benelson has joined #symbiflow01:48
*** benelson has quit IRC01:52
*** allenlorenz has joined #symbiflow02:06
*** benelson has joined #symbiflow04:17
*** benelson has quit IRC04:22
*** proteus-guy has quit IRC04:32
*** OmniMancer has joined #symbiflow06:33
*** OmniMancer1 has joined #symbiflow06:35
*** OmniMancer has quit IRC06:37
*** proteus-guy has joined #symbiflow06:46
*** benelson has joined #symbiflow07:30
*** benelson has quit IRC07:34
*** Bertl_oO is now known as Bertl_zZ07:49
*** benelson has joined #symbiflow09:30
*** benelson has quit IRC09:35
*** proteus-guy has quit IRC11:55
*** Vonter has quit IRC12:04
*** Vonter has joined #symbiflow12:19
*** benelson has joined #symbiflow13:31
*** benelson has quit IRC13:36
pdp7thanks :)14:12
pdp7killruana:14:12
pdp7killruana:14:14
pdp7oops14:14
pdp7I was meaning to ask if there are instructions for using the Antmicro Arty Expansion board14:15
*** Bertl_zZ is now known as Bertl14:20
*** OmniMancer1 has quit IRC14:22
*** benelson has joined #symbiflow15:24
*** benelson has quit IRC15:24
*** benelson has joined #symbiflow15:25
*** citypw_ has quit IRC16:06
*** proteus-guy has joined #symbiflow16:16
*** alexhw has quit IRC16:48
*** alexhw has joined #symbiflow16:49
*** alexhw has quit IRC16:53
*** alexhw has joined #symbiflow16:55
*** mkru has joined #symbiflow18:03
*** gg77 has joined #symbiflow18:35
gg77Hello everyone. I recently did my coursework in FPGA especially on artix7 basys3board ( xc7a35tcpg236-1) and I am comfortable with FPGA design and its components. I am also comfortable with verilog and C. I saw the project xray and found it exciting. Can anyone please update me about what we are currently working on so that I can look up the18:42
gg77required resources and start working on it.18:42
*** gg7769 has joined #symbiflow18:47
*** gg77 has quit IRC18:47
*** gg7769 has quit IRC18:47
*** gg77 has joined #symbiflow18:48
*** mkru has quit IRC18:54
ZirconiumXJeez, is Project X-Ray the only thing Symbiflow is known for?18:55
ZirconiumXgg77: how much do you know of FPGA architecture? As in, how FPGAs work.18:55
*** gg77 has quit IRC18:58
hackerfooI think it's very confusing from the outside. The output of Project X-Ray and symbiflow-arch-defs is mostly data that can be used by other tools, such as VPR and Yosys. So users will never really see them in the end. And then there's nextpnr, which can use some of that data, but is not what the SymbiFlow project is targetting.19:00
ZirconiumXWhich is a shame I think19:01
hackerfooAnd then a lot of effort goes into VPR.19:01
hackerfooZirconiumX: Which part? I'm fine with the project melting away into history if it leads to open source EDA.19:02
ZirconiumXVPR, mostly.19:02
ZirconiumXThe ecosystem does not in any way benefit from redundancy when it's this small19:03
hackerfooVPR is older than nextpnr, right? And has been proven to work for large FPGAs.19:05
ZirconiumXVPR is still fundamentally simulated annealing, right?19:06
hackerfooWe just don't have the time for both of them, but if nextpnr works out, that's great too.19:06
hackerfooYeah, for placement.19:07
ZirconiumXIf we don't have time for both, why pick the technologically inferior one?19:07
ZirconiumXWhy make things harder for yourselves?19:07
hackerfooI don't think it's well tuned for xc7 yet. I'm working on it. SA can be pretty powerful, and more importantly, flexible.19:08
*** somlo has quit IRC19:09
hackerfooVPR is designed to work for many architectures.19:09
ZirconiumXI'm here targeting mostly EP5C and VPR is explicitly a non-goal of mine19:09
ZirconiumXAfter all, Quartus still to this day is built on VPR, but they haven't opened up any of their changes.19:10
ZirconiumXNextpnr was designed to be portable from the beginning too so portability there is a non-argument19:15
*** somlo has joined #symbiflow19:19
hackerfooI'm not arguing against nextpnr. I've only worked on VPR, because that's what we're using.19:19
hackerfooIf there was a good reason to change, I'd look at nextpnr.19:19
ZirconiumXHeAP is a very good reason for example19:21
ZirconiumXIf we want to convert people to use FOSS tools over proprietary tools, we should be leading the state of the art, not trailing it19:22
hackerfooThat's not true. We just need something that works.19:22
ZirconiumXSo you build it and then what? They come, use something *slower* than the vendor tools that doesn't come with a vendor warranty, and they'll be happy?19:24
hackerfooThe hope is that they will join in and make it better.19:25
hackerfooWe take the risk out, and they get to have fun improving the tools.19:25
ZirconiumXBut businesses don't get paid to have fun.19:27
hackerfooThat's pretty much how open source works i.e. worse is better.19:28
ZirconiumXNo, not particularly. Qt, GCC and Linux are good examples of where worse is worse19:29
*** Bertl is now known as Bertl_oO19:30
hackerfooThose are all more successful than anything I've done.19:32
ZirconiumXWould you mind giving an example of the most successful project you've done, then?19:33
hackerfooPersonally: https://github.com/HackerFoo/poprc/19:35
tpbTitle: GitHub - HackerFoo/poprc: A Compiler for the Popr Language (at github.com)19:35
hackerfooBut I guess as a group, it's hard to do a fair comparison, I guess.19:36
hackerfooNone of those projects were made by one person.19:37
sf-slack<garvit.gupta08> As of architecture I am very well aware of luts,  block rams and fifo. My work mostly was to use them to implement required functionality.19:37
sf-slack<garvit.gupta08> gg77 is my irc username19:37
ZirconiumXSo how does a Xilinx LUT6 work?19:37
ZirconiumXAs opposed to a Lattice ECP5 Slice?19:38
ZirconiumXOr an Intel ALM19:38
ZirconiumX(I believe the equivalent term for an ALM in Xilinx parlance is CLB but I'm not 100% sure19:38
ZirconiumX)19:38
ZirconiumXhackerfoo: it's a fair comparison of a group project against a group project, I think19:39
sf-slack<garvit.gupta08> LUT6 comprises of two lut 5 having same set of 5 bit address line and and one select line for the mux to choose the output for anyone of the luts.19:40
ZirconiumXFor the record, that's the best I've seen so far19:41
ZirconiumXOut of about four people19:41
sf-slack<garvit.gupta08> I am not aware of Intel alm and lattice ECP5 slice.19:42
ZirconiumXMaybe I should have better referred to the ECP5 slice as a PFU, but anyway19:42
ZirconiumXSo, Symbiflow contains a bunch of different tools, as it's a full flow19:43
ZirconiumXYosys provides synthesis, VPR or nextpnr provide place-and-route, and Project X-Ray provide bitstream tools19:43
sf-slack<garvit.gupta08> I want to work on projectxray as I am familiar with 7 series architecture.19:47
sf-slack<garvit.gupta08> I studied some of the resources related to it on site but does not know the current status of where project i19:49
sf-slack<garvit.gupta08> *where the project is?19:49
hackerfooWe could use more testbenches: https://github.com/SymbiFlow/symbiflow-arch-defs/tree/master/xc7/tests19:50
tpbTitle: symbiflow-arch-defs/xc7/tests at master · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)19:50
hackerfooOtherwise, look for the "good first issue" tag: https://github.com/SymbiFlow/symbiflow-arch-defs/issues?q=is%3Aissue+is%3Aopen+label%3A%22good+first+issue%2219:51
tpbTitle: Issues · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)19:51
hackerfooOr "help wanted"19:51
sf-slack<garvit.gupta08> Project-arch-defs deals with what part of the flow?19:52
ZirconiumXIt's data loaded by VPR I think19:53
ZirconiumX<hackerfoo> I think it's very confusing from the outside. The output of Project X-Ray and symbiflow-arch-defs is mostly data that can be used by other tools, such as VPR and Yosys. So users will never really see them in the end. And then there's nextpnr, which can use some of that data, but is not what the SymbiFlow project is targetting.19:53
hackerfooIt's mostly about generating the routing resource graph and architecture description using data from Project X-Ray.19:55
hackerfooIt also runs the whole flow to test it out, but it's not really designed for users, more for testing.19:56
sf-slack<garvit.gupta08> Okay, so to generate the routing resource graph we do have to provide some design of circuit to which place and routing will take place? How do we do that?19:58
hackerfooAll the data required to generate the routing resource graph, which describes the routing fabric in the FPGA,  comes from https://github.com/SymbiFlow/prjxray20:01
tpbTitle: GitHub - SymbiFlow/prjxray: Documenting the Xilinx 7-series bit-stream format. (at github.com)20:01
hackerfooAnd it takes a long time to generate, so we periodically update https://github.com/SymbiFlow/prjxray-db20:02
tpbTitle: GitHub - SymbiFlow/prjxray-db: Project X-Ray Database: XC7 Series (at github.com)20:02
hackerfooDescribing the architecture is more of a manual task: https://github.com/SymbiFlow/symbiflow-arch-defs/tree/master/xc7/primitives20:03
tpbTitle: symbiflow-arch-defs/xc7/primitives at master · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)20:03
hackerfooBut we use automation where we can.20:03
hackerfoohttps://prjxray.readthedocs.io/en/latest/20:06
tpbTitle: Welcome to Project X-Ray Project X-Ray 0.0-3049-g418063af documentation (at prjxray.readthedocs.io)20:06
hackerfoohttps://symbiflow.readthedocs.io/en/latest/20:07
tpbTitle: SymbiFlow documentation SymbiFlow (at symbiflow.readthedocs.io)20:07
*** benelson has quit IRC20:44
hackerfooI improved the VPR placer so much that it broke a bunch of the tests with better than expected scores.21:29
*** killruana has quit IRC21:32
*** killruana has joined #symbiflow22:00

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!