Tuesday, 2020-02-04

*** tpb has joined #symbiflow00:00
*** space_zealot has quit IRC00:19
*** freemint has quit IRC00:22
*** space_zealot has joined #symbiflow00:36
*** citypw has joined #symbiflow02:44
*** citypw has quit IRC03:11
*** citypw has joined #symbiflow03:27
*** space_zealot has quit IRC03:56
*** Bertl_oO is now known as Bertl_zZ04:54
*** citypw has quit IRC05:04
*** citypw has joined #symbiflow05:27
*** _whitelogger has quit IRC06:43
*** _whitelogger has joined #symbiflow06:46
*** space_zealot has joined #symbiflow06:56
*** citypw has quit IRC09:03
*** citypw has joined #symbiflow09:19
*** rvalles has quit IRC09:34
*** rvalles has joined #symbiflow09:48
*** citypw has quit IRC10:10
*** citypw has joined #symbiflow10:27
*** kraiskil has joined #symbiflow10:27
*** kraiskil has quit IRC10:33
*** kraiskil has joined #symbiflow10:46
*** bunnie[m] has quit IRC11:15
*** rvalles has quit IRC11:15
*** _florent_ has quit IRC11:15
*** bubble_buster has quit IRC11:15
*** abeljj[m] has quit IRC11:15
*** felix_ has quit IRC11:15
*** flokli has quit IRC11:15
*** ZipCPU has quit IRC11:15
*** proppy has quit IRC11:15
*** stzsch has quit IRC11:15
*** sorear has quit IRC11:15
*** somlo has quit IRC11:15
*** seraxis has quit IRC11:15
*** daveshah has quit IRC11:15
*** kgugala has quit IRC11:15
*** nickray has quit IRC11:15
*** sf-slack1 has quit IRC11:15
*** litghost has quit IRC11:15
*** perillamint has quit IRC11:15
*** lethalbit has quit IRC11:15
*** digshadow has quit IRC11:15
*** mithro has quit IRC11:15
*** alexhw[m] has quit IRC11:15
*** citypw has quit IRC11:15
*** Guest60 has quit IRC11:15
*** ZirconiumX has quit IRC11:15
*** Thalheim has quit IRC11:15
*** Xark has quit IRC11:15
*** heath has quit IRC11:15
*** nats` has quit IRC11:15
*** phire has quit IRC11:15
*** _whitelogger has quit IRC11:15
*** elms has quit IRC11:15
*** Ultrasauce has quit IRC11:15
*** futarisIRCcloud has quit IRC11:15
*** zkms has quit IRC11:15
*** diamondman has quit IRC11:15
*** piegames has quit IRC11:15
*** heijligen has quit IRC11:15
*** allenlorenz has quit IRC11:15
*** _whitenotifier-3 has quit IRC11:15
*** flammit has quit IRC11:15
*** benreynwar has quit IRC11:15
*** kraiskil has quit IRC11:16
*** nonlinear has quit IRC11:16
*** alexhw has quit IRC11:16
*** yeti has quit IRC11:16
*** killruana has quit IRC11:16
*** nurelin has quit IRC11:16
*** tux3_ has quit IRC11:16
*** fengling has quit IRC11:16
*** TheHolyC has quit IRC11:16
*** duck2 has quit IRC11:16
*** hackerfoo has quit IRC11:16
*** celadon has quit IRC11:16
*** Vonter has quit IRC11:16
*** josi98 has quit IRC11:16
*** m_hackerfoo has quit IRC11:16
*** anuejn has quit IRC11:16
*** xobs has quit IRC11:16
*** adjtm_ has quit IRC11:16
*** titanbiscuit has quit IRC11:16
*** lopsided98 has quit IRC11:16
*** Xiretza has quit IRC11:16
*** vup has quit IRC11:16
*** siriusfox has quit IRC11:16
*** mangelis has quit IRC11:16
*** space_zealot has quit IRC11:16
*** luaraneda has quit IRC11:16
*** emilazy has quit IRC11:16
*** kmehall_ has quit IRC11:16
*** kuldeep has quit IRC11:16
*** noopwafel has quit IRC11:16
*** filt3r has quit IRC11:16
*** bjorkintosh has quit IRC11:16
*** Bertl_zZ has quit IRC11:16
*** gruetzkopf has quit IRC11:16
*** nrossi has quit IRC11:16
*** mats has quit IRC11:16
*** xvilka has quit IRC11:16
*** ChanServ has quit IRC11:16
*** ovf has quit IRC11:18
*** bjorkintosh has joined #symbiflow11:20
*** bunnie[m] has joined #symbiflow11:20
*** ZipCPU has joined #symbiflow11:20
*** felix_ has joined #symbiflow11:20
*** flokli has joined #symbiflow11:20
*** abeljj[m] has joined #symbiflow11:20
*** bubble_buster has joined #symbiflow11:20
*** _florent_ has joined #symbiflow11:20
*** rvalles has joined #symbiflow11:20
*** kraiskil has joined #symbiflow11:20
*** citypw has joined #symbiflow11:20
*** space_zealot has joined #symbiflow11:20
*** _whitelogger has joined #symbiflow11:20
*** adjtm_ has joined #symbiflow11:20
*** Guest60 has joined #symbiflow11:20
*** allenlorenz has joined #symbiflow11:20
*** ZirconiumX has joined #symbiflow11:20
*** nonlinear has joined #symbiflow11:20
*** alexhw has joined #symbiflow11:20
*** perillamint has joined #symbiflow11:20
*** elms has joined #symbiflow11:20
*** piegames has joined #symbiflow11:20
*** yeti has joined #symbiflow11:20
*** killruana has joined #symbiflow11:20
*** luaraneda has joined #symbiflow11:20
*** Ultrasauce has joined #symbiflow11:20
*** nurelin has joined #symbiflow11:20
*** lethalbit has joined #symbiflow11:20
*** heijligen has joined #symbiflow11:20
*** celadon has joined #symbiflow11:20
*** tux3_ has joined #symbiflow11:20
*** Vonter has joined #symbiflow11:20
*** siriusfox has joined #symbiflow11:20
*** Thalheim has joined #symbiflow11:20
*** futarisIRCcloud has joined #symbiflow11:20
*** zkms has joined #symbiflow11:20
*** diamondman has joined #symbiflow11:20
*** gruetzkopf has joined #symbiflow11:20
*** digshadow has joined #symbiflow11:20
*** titanbiscuit has joined #symbiflow11:20
*** lopsided98 has joined #symbiflow11:20
*** emilazy has joined #symbiflow11:20
*** mithro has joined #symbiflow11:20
*** alexhw[m] has joined #symbiflow11:20
*** nrossi has joined #symbiflow11:20
*** josi98 has joined #symbiflow11:20
*** _whitenotifier-3 has joined #symbiflow11:20
*** fengling has joined #symbiflow11:20
*** nats` has joined #symbiflow11:20
*** heath has joined #symbiflow11:20
*** Xark has joined #symbiflow11:20
*** mats has joined #symbiflow11:20
*** TheHolyC has joined #symbiflow11:20
*** flammit has joined #symbiflow11:20
*** benreynwar has joined #symbiflow11:20
*** Xiretza has joined #symbiflow11:20
*** phire has joined #symbiflow11:20
*** duck2 has joined #symbiflow11:20
*** m_hackerfoo has joined #symbiflow11:20
*** hackerfoo has joined #symbiflow11:20
*** vup has joined #symbiflow11:20
*** anuejn has joined #symbiflow11:20
*** mangelis has joined #symbiflow11:20
*** kmehall_ has joined #symbiflow11:20
*** xvilka has joined #symbiflow11:20
*** kuldeep has joined #symbiflow11:20
*** noopwafel has joined #symbiflow11:20
*** filt3r has joined #symbiflow11:20
*** ChanServ has joined #symbiflow11:20
*** kornbluth.freenode.net sets mode: +o ChanServ11:20
*** proppy has joined #symbiflow11:20
*** stzsch has joined #symbiflow11:20
*** sorear has joined #symbiflow11:20
*** somlo has joined #symbiflow11:20
*** seraxis has joined #symbiflow11:20
*** daveshah has joined #symbiflow11:20
*** kgugala has joined #symbiflow11:20
*** nickray has joined #symbiflow11:20
*** sf-slack1 has joined #symbiflow11:20
*** litghost has joined #symbiflow11:20
*** sf-slack has joined #symbiflow11:20
*** sf-slack1 has quit IRC11:21
*** ovf has joined #symbiflow11:23
*** futarisIRCcloud has quit IRC11:23
*** diamondman has quit IRC11:23
*** sorear has quit IRC11:23
*** futarisIRCcloud has joined #symbiflow11:23
*** sorear has joined #symbiflow11:23
*** diamondman has joined #symbiflow11:24
*** Bertl_zZ has joined #symbiflow11:26
*** siriusfox has quit IRC11:26
*** siriusfox has joined #symbiflow11:30
mithromkurc: For the changes to v2x - can you make sure they updated the documentation at https://python-symbiflow-v2x.readthedocs.io/en/latest/index.html?11:37
tpbTitle: Welcome to SymbiFlow Verilog to XML SymbiFlow Verilog to XML (V2X) 0.0-410-g4898bf6 documentation (at python-symbiflow-v2x.readthedocs.io)11:37
sf-slack<mkurc> @mithro Ok, I'll update the docs.11:38
*** citypw has quit IRC11:38
-_whitenotifier-3- [prjxray] mithro opened issue #1219: 005-tilegrid failing on Zynq - https://git.io/JvGRL11:45
mithroacomodi: It looks like the tilegrid fuzzer is failing on zynq? - https://github.com/SymbiFlow/prjxray/issues/121911:45
tpbTitle: 005-tilegrid failing on Zynq · Issue #1219 · SymbiFlow/prjxray · GitHub (at github.com)11:45
sf-slack<acomodi> @mithro: Hmm, this is unexpected, the question is why it is failing now? Is there a full log somewhere? I wonder whether this is related to the extra-part zynq010 or the zynq02011:48
mithroacomodi: I put them in the github issue just then11:50
sf-slack<acomodi> mithro: great, thanks11:50
*** citypw has joined #symbiflow11:52
mithroacomodi: Regarding the clock inversion12:04
mithroacomodi: https://github.com/antmicro/prjxray/commit/2d13b11f1350667d8536dc0e80daa995e1d72e7212:04
tpbTitle: Merge pull request #935 from litghost/more_ilogic_bits · antmicro/prjxray@2d13b11 · GitHub (at github.com)12:04
mithroacomodi: So IS_CLKB_INVERTED is never generated?12:07
mithro`~/github/SymbiFlow/prjxray/database$ grep -R IS_CLKB_INVERTED` returns nothing...12:08
sf-slack<acomodi> @mithro: exactly, as far as I have seen, by setting or unsetting the IS_CLKB_INVERTED, no bit is changing12:08
sf-slack<acomodi> And, as a result, we lack the IS_CLKB_INVERTED feature12:09
mithroacomodi: I think IS_CLKB_INVERTED is actually *implied* by the mode the serdes is being used in?12:10
mithroacomodi: https://forums.xilinx.com/t5/Implementation/IS-CLK-INVERTED-attribute-of-ISERDES/m-p/992062/highlight/true#M2529912:11
tpbTitle: Re: IS_CLK_INVERTED attribute of ISERDES - Community Forums (at forums.xilinx.com)12:11
sf-slack<acomodi> mithro: well, this tan explains the reason why we do not see any changes in the bits, I suppose. The problem now is, how to disable this implication? `MEMORY_QDR` interface type seems to be available only when using the MIG tool: https://www.xilinx.com/support/documentation/user_guides/ug471_7Series_SelectIO.pdf page 15312:17
mithrohttps://usercontent.irccloud-cdn.com/file/Io4AU8RF/Screenshot%20from%202020-02-04%2013-15-58.png12:17
mithroacomodi: I think the inverter should be absorbed into the ISERDES primitive...12:18
mithroActually...12:19
mithroWait - how is the MCMM configured?12:19
sf-slack<acomodi> You mean the PLL?12:19
mithroYeah12:19
mithroacomodi: It's generating CLK and ~CLK right?12:19
sf-slack<acomodi> No, it is generating a SYS_CLK, a SYS4x_CLK (fast clock for the IOSERDESes), a 90 degrees phased SYS4x_CLK12:21
mithrohttps://www.irccloud.com/pastebin/iObUbZ3U/12:23
tpbTitle: Snippet | IRCCloud (at www.irccloud.com)12:23
sf-slack<acomodi> mithro: Could it be possible that, if this CLKB inverted is absorbed in the ISERDES primitive (and always active) we are feeding to the CLKB pin an inverted clock which is than inverted again by the pin inverter?12:23
mithrohttps://www.irccloud.com/pastebin/dFzqVXJD/12:24
tpbTitle: Snippet | IRCCloud (at www.irccloud.com)12:24
sf-slack<acomodi> mithro: That bufg is necessary as, without it, the ~CLK would have been negated incorrectly without even passing through a BUFG12:26
mithroacomodi: Did you modify the generated verilog at all?12:27
sf-slack<acomodi> mithro: yes, but before that I have used Vivado to test it was correctly functioning. Apart from this we needed to add the OBUFs manually and the PLL phasing (90000 instead of 90)12:29
mithroCan you provide the diff?12:30
sf-slack<acomodi> Sure12:30
sf-slack<acomodi> mithro: https://pastebin.com/hbbiPhhL12:32
tpbTitle: 23,24c23 < output ddram_reset_n, < output [3:0] led --- > output ddram_re - Pastebin.com (at pastebin.com)12:32
mithroacomodi: Can you use unified diff output?12:34
sf-slack<acomodi> mithro: here it is https://pastebin.com/mCWHStjf12:36
tpbTitle: --- ddr_uart.v 2020-02-04 13:26:04.860069367 +0100 +++ ../../../../prjxray/mini - Pastebin.com (at pastebin.com)12:36
mithroacomodi: So the - lines are actually the ones you added?12:37
sf-slack<acomodi> mithro: yes, @mkurc has dealt with the OBUF issues12:39
sf-slack<acomodi> And this is applied also for the minilitex_ddr_test12:39
mithroacomodi: This change doesn't look right12:41
mithroacomodi: In the original source, the sys4x_clk signal was set up to drive CLK and CLKB but you changed CLKB to be ~sys4x_clk?12:46
sf-slack<acomodi> Oh, actually I've sent you a wrong diff as I am currently changing the design to see whether, by leaving CLKB equal to CLK, things get to work. Anyway, the original source has CLKB equal to `~sys4x_clk`  and CLK to `sys4x_clk`12:50
mithroacomodi: Which comes from https://github.com/enjoy-digital/litedram/blob/74f72f91a03156cfa23b7615dabd81c858d0b933/litedram/phy/s7ddrphy.py#L429-L430 ?12:51
tpbTitle: litedram/s7ddrphy.py at 74f72f91a03156cfa23b7615dabd81c858d0b933 · enjoy-digital/litedram · GitHub (at github.com)12:51
sf-slack<acomodi> mithro: yes, should be that one12:52
mithro_florent_: You got a moment for a question about the clocking of the ISERDES in Artix-7 parts?12:54
sf-slack<acomodi> mithro: this is the current correct diff in the uart-ddr PR: https://pastebin.com/9W4Ffe0q12:56
tpbTitle: --- ddr_uart.v 2020-02-04 13:52:01.455432878 +0100 +++ /home/alessandro/project - Pastebin.com (at pastebin.com)12:56
_florent_mithro: yes13:05
mithro_florent_: So in the ISERDES2 at https://github.com/enjoy-digital/litedram/blob/74f72f91a03156cfa23b7615dabd81c858d0b933/litedram/phy/s7ddrphy.py#L429-L430 you drive i_CLK with ddr_clk and i_CLKB with ~ddr_clk -- what is the expected outcome in Vivado?13:08
tpbTitle: litedram/s7ddrphy.py at 74f72f91a03156cfa23b7615dabd81c858d0b933 · enjoy-digital/litedram · GitHub (at github.com)13:08
*** kraiskil has quit IRC13:10
mithromkurc: When writing the README.rst you should be describing the feature that is being tested rather than the bug itself13:16
sf-slack<mkurc> @mithro: Right, I'll make them more focused on the feature.13:17
mithromkurc: https://python-symbiflow-v2x.readthedocs.io/en/latest/tests/dsp/dsp_combinational.html13:17
tpbTitle: dsp_combinational test SymbiFlow Verilog to XML (V2X) 0.0-410-g4898bf6 documentation (at python-symbiflow-v2x.readthedocs.io)13:17
_florent_mithro: from UG471: "The high-speed secondary clock input (CLKB) is used to clock in the input serial data stream. In any mode other than MEMORY_QDR, connect CLKB to an inverted version of CLK."13:17
mithro_florent_: Let me rephrase -- How do you expect the inverted ddr_clk be generated?13:18
mithro_florent_: IE I would expect you would need to use the PLL to generate a 180 degree shifted ddr_clk ?13:20
*** proteusguy has joined #symbiflow13:20
_florent_mithro: i'm not sure since it's possible there is a hardware inverted directly in the ISERDESE213:24
_florent_mithro: on Ultrascale, i'm sure there is a hardware inverted on CLKB and you have parameter to specify the behaviour13:25
_florent_mithro: i would need to look for 7-Series13:25
*** kraiskil has joined #symbiflow13:26
_florent_mithro: it also seems to be used like that on others designs:13:28
_florent_https://github.com/LBL-BIDS/fpga-family/blob/24d4df6d5ca62e078b4663cbdc7557ee0693f0e8/iserdes/lvds_iophy.v#L3213:28
tpbTitle: fpga-family/lvds_iophy.v at 24d4df6d5ca62e078b4663cbdc7557ee0693f0e8 · LBL-BIDS/fpga-family · GitHub (at github.com)13:28
_florent_https://github.com/Blackieyan/AD9653_DAC3484/blob/40df90dd0cc775e85fd6948b134ba87e29f5df59/project_missle.srcs/sources_1/ad/Frame_Check.v#L8313:29
tpbTitle: AD9653_DAC3484/Frame_Check.v at 40df90dd0cc775e85fd6948b134ba87e29f5df59 · Blackieyan/AD9653_DAC3484 · GitHub (at github.com)13:29
mithroThis image seems to imply that the CLK / CLKB have inverters https://usercontent.irccloud-cdn.com/file/DEQ3mfci/image.png13:30
*** space_zealot has quit IRC13:53
*** kraiskil has quit IRC14:17
*** kraiskil has joined #symbiflow14:30
*** celadon has quit IRC14:34
*** celadon has joined #symbiflow14:43
sf-slack<acomodi> @mithro: I have tried to feed the same clock to both CLK and CLKB to ISERDESes, and the whole design passed through fasm2bels with no errors. There is no diff in the FASM files and, by inspecting the resulting .dcp, the CLKB inverter is enabled.14:53
sf-slack<acomodi> Still, the design does not work on HW, I guess still for hold/setup violations14:54
mithroacomodi: Okay, so Yosys should absorb the inverter into the ISERDES then I guess?14:54
mithroacomodi: Does it work with Yosys->Vivado and FASM2BELs?14:54
sf-slack<acomodi> mithro: Actually I am quite unsure of what happens there. By inspecting fasm2bels, the IS_CLKB_INVERTED parameter is added to the resulting verilog. I am not sure this is a Yosys doing. This inverter seems to be working in a pretty strange way. By setting or unsetting in the verilog instantiation, nothing changes)15:01
sf-slack<acomodi> mithro: I need to check that15:01
*** space_zealot has joined #symbiflow15:17
*** citypw has quit IRC15:50
*** space_zealot has quit IRC15:50
*** space_zealot has joined #symbiflow16:13
*** proteus-guy has joined #symbiflow16:42
*** space_zealot has quit IRC18:04
*** space_zealot has joined #symbiflow18:04
*** az0re has joined #symbiflow18:11
*** space_zealot has quit IRC18:46
*** space_zealot has joined #symbiflow18:46
*** kraiskil has quit IRC18:59
*** bunnie[m] has quit IRC19:01
*** sf-slack has quit IRC19:01
*** rvalles has quit IRC19:01
*** _florent_ has quit IRC19:01
*** bubble_buster has quit IRC19:02
*** abeljj[m] has quit IRC19:02
*** felix_ has quit IRC19:02
*** flokli has quit IRC19:02
*** ZipCPU has quit IRC19:02
*** bunnie[m] has joined #symbiflow19:03
*** sf-slack has joined #symbiflow19:03
*** rvalles has joined #symbiflow19:03
*** _florent_ has joined #symbiflow19:03
*** bubble_buster has joined #symbiflow19:03
*** abeljj[m] has joined #symbiflow19:03
*** flokli has joined #symbiflow19:03
*** felix_ has joined #symbiflow19:03
*** ZipCPU has joined #symbiflow19:03
Xiretzadaveshah: route failure from SITEWIRE/SLICE_X7Y94/C6LUT_O6 to SITEWIRE/SLICE_X2Y97/CEUSEDMUX_OUT with f49d299, I can give you the json and xdc in a sec19:17
daveshahThanks, I will look tomorrow. I think this is probably another shift register issue19:18
Xiretzadaveshah: oh, somehow my -nosrl got lost, will retry with that19:19
*** space_zealot has quit IRC19:22
Xiretzayep, seems like it, router starts fine with -nosrl. anyway, here's the files: https://misc.xiretza.xyz/repro/f49d299b_route_fail.tar.gz19:23
daveshahThanks!19:26
*** space_zealot has joined #symbiflow19:32
Xiretzahuh, another interesting problem: just updated yosys (I'm assuming that's what's the problem), now router2 is stuck at overused=147 and it's not going down any further.20:02
litghostXirertza: What part are you targetting?20:03
Xiretzalitghost: xc7a35tcsg32420:04
Xiretzait worked a moment ago and the only thing that changed is yosys, I'll downgrade that and try again20:05
*** kraiskil has joined #symbiflow20:05
*** bjorkintosh has quit IRC20:08
Xiretzayeah, that's it, specifically 34d2fbd2 "Add opt_lut_ins pass". I'll hop on over to #yosys then20:14
*** kraiskil has quit IRC20:22
*** bjorkintosh has joined #symbiflow21:02
*** kraiskil has joined #symbiflow21:13
*** bjorkintosh has quit IRC21:30
*** space_zealot has quit IRC23:05
*** kraiskil has quit IRC23:56

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!