*** tpb has joined #symbiflow | 00:00 | |
*** space_zealot has quit IRC | 00:06 | |
*** kraiskil has quit IRC | 00:23 | |
*** freemint has joined #symbiflow | 01:46 | |
*** freemint has quit IRC | 01:51 | |
*** alexhw has quit IRC | 02:07 | |
*** alexhw has joined #symbiflow | 02:13 | |
*** citypw has joined #symbiflow | 02:30 | |
*** space_zealot has joined #symbiflow | 04:26 | |
*** Bertl_oO is now known as Bertl_zZ | 04:49 | |
*** space_zealot has quit IRC | 05:10 | |
*** nonlinear has quit IRC | 08:09 | |
*** nonlinear has joined #symbiflow | 08:13 | |
*** ZirconiumX has quit IRC | 08:18 | |
*** az0re has joined #symbiflow | 08:19 | |
*** _whitelogger has quit IRC | 08:25 | |
*** _whitelogger has joined #symbiflow | 08:28 | |
*** nonlinear has quit IRC | 08:48 | |
*** nonlinear has joined #symbiflow | 09:11 | |
*** nonlinear has quit IRC | 09:33 | |
*** rvalles has quit IRC | 09:34 | |
*** rvalles has joined #symbiflow | 09:48 | |
*** nonlinear has joined #symbiflow | 09:55 | |
*** nonlinear has quit IRC | 10:17 | |
*** freemint has joined #symbiflow | 10:26 | |
*** freemint has quit IRC | 10:33 | |
*** nonlinear has joined #symbiflow | 10:52 | |
*** ZirconiumX has joined #symbiflow | 10:56 | |
*** ricos has joined #symbiflow | 12:02 | |
ricos | I'd like to use nextpnr-xilinx with an artix7 and an ISERDESE2 (see https://pastebin.com/f8u1cCnP ). Unfortunately nextpnr-xilinx crashes with https://pastebin.com/xT4xPgDt . How could I get that working? | 12:09 |
---|---|---|
tpb | Title: [VeriLog] `default_nettype none module top (input clk, output [7:0] LED_o, input pio3, - Pastebin.com (at pastebin.com) | 12:09 |
ZirconiumX | daveshah: ^ | 12:23 |
daveshah | ricos: what Yosys version and script are you using? | 12:25 |
ricos | daveshah Yosys 0.9+932 (git sha1 342d91f, clang 9.0.0 -fPIC -Os) | 12:26 |
ricos | yosys -p "synth_xilinx -flatten -abc9 -nobram -arch xc7 -top top; write_json cmod.json" top.v | 12:26 |
ricos | I'm not exactly sure what you mean with "script". Is that just the command line or anything else? | 12:32 |
daveshah | Yes, the script is the bit in -p | 12:32 |
daveshah | I would recommend a newer Yosys. Older Yosys didn't do IO buffer insertion, and the IO buffer insertion in nextpnr isn't perfect | 12:33 |
daveshah | (can't immediately find where 342d91f comes from, but +932 is quite a bit older than +1706 I have here) | 12:34 |
ricos | ok, let me try ... | 12:35 |
*** kraiskil has joined #symbiflow | 12:47 | |
ricos | daveshah, somehow the sha1 is broken here, tried with Yosys 0.9+1706 (git sha1 342d91f, clang 9.0.1 -fPIC -Os) | 13:19 |
*** space_zealot has joined #symbiflow | 13:20 | |
ricos | daveshah, got E"RROR: JSON module whitebox attribute value is not a number" now | 13:21 |
daveshah | ricos: I think I pushed a fix for that to nextpnr-xilinx yesterday | 13:31 |
ricos | daveshah: with nextpnr-xilinx (6d80688) I now get terminate called after throwing an instance of 'std::invalid_argument' | 13:36 |
ricos | probably need to update prjxray ... | 13:37 |
daveshah | Depending on when you last built it, more important is probably just rebuilding the bba/bin | 13:41 |
*** Bertl_zZ is now known as Bertl | 14:01 | |
ricos | daveshah, it is still an issue but now https://pastebin.com/tN3STdQS | 14:07 |
tpb | Title: no dangling wires. checking net $auto$clkbufmap.cc:247:execute$278 driver: - Pastebin.com (at pastebin.com) | 14:07 |
daveshah | Might be an issue with the way you are using the ISERDES. Only a limited set of configs have been tested | 14:08 |
ricos | do you know which one where tested? | 14:09 |
daveshah | Primarily what litedram (https://github.com/enjoy-digital/litedram/blob/master/litedram/phy/s7ddrphy.py) uses | 14:10 |
tpb | Title: litedram/s7ddrphy.py at master · enjoy-digital/litedram · GitHub (at github.com) | 14:10 |
daveshah | Can you post your design somewhere? I can see if it would be possible to get it working with the current state of prjxray | 14:10 |
*** kraiskil has quit IRC | 14:11 | |
ricos | daveshah, the design is here https://pastebin.com/f8u1cCnP not sure if it should work at all... | 14:14 |
tpb | Title: [VeriLog] `default_nettype none module top (input clk, output [7:0] LED_o, input pio3, - Pastebin.com (at pastebin.com) | 14:14 |
daveshah | IS_CLK_INVERTED("FALSE") should be (0) | 14:14 |
daveshah | (I need to work on a better error for this) | 14:15 |
daveshah | 7:1 mode hasn't been tested yet, I can't guarantee that it will work | 14:15 |
ricos | should the 0 used for all IS_* ? | 14:16 |
daveshah | Yes | 14:16 |
ricos | hence this worked :-) | 14:17 |
ricos | no crash, but it found no clocks | 14:19 |
daveshah | That's fine, it doesn't do timing analysis of SERDES atm | 14:19 |
ricos | ok | 14:19 |
ricos | daveshah, thanks for your help | 14:28 |
*** citypw has quit IRC | 14:57 | |
*** kraiskil has joined #symbiflow | 15:09 | |
*** citypw has joined #symbiflow | 15:13 | |
*** freemint has joined #symbiflow | 15:17 | |
*** freemint has quit IRC | 15:39 | |
*** dottedmag has joined #symbiflow | 15:40 | |
*** freemint has joined #symbiflow | 15:40 | |
*** _florent_ has quit IRC | 15:48 | |
*** _florent_ has joined #symbiflow | 15:48 | |
*** freemint has quit IRC | 16:10 | |
*** freemint has joined #symbiflow | 16:11 | |
*** ricos has quit IRC | 16:20 | |
*** freemint has quit IRC | 16:20 | |
*** kraiskil has quit IRC | 16:37 | |
*** Bertl is now known as Bertl_oO | 17:33 | |
*** sorear has quit IRC | 18:23 | |
*** sorear has joined #symbiflow | 18:23 | |
*** allenlorenz47 has joined #symbiflow | 18:42 | |
Xiretza | daveshah: I know timing isn't very accurate yet, but I'm getting 20%+ higher fmax on :xilinx than on :router2, is that expected? | 18:45 |
daveshah | Yes, it's possible, router2 is optimised more for runtime than fmax at the moment | 18:46 |
daveshah | usually it's less than 10% different but an edge case is always possible | 18:47 |
Xiretza | ah, interesting | 18:47 |
daveshah | the inaccuracies in timing analysis might not be helping either | 18:47 |
daveshah | In the long run, when it has timing-driven rip up, router2 should also be able to give better timing (at the expense of runtime) for some trickier constraintsd | 18:48 |
Xiretza | nice, at the moment I don't have any timing concerns anyway, was just surprised to see such high numbers after updating everything | 18:50 |
*** allenlorenz has joined #symbiflow | 18:52 | |
*** stzsch has joined #symbiflow | 21:01 | |
*** clacktronics has quit IRC | 21:41 | |
*** kraiskil has joined #symbiflow | 21:57 | |
*** citypw has quit IRC | 22:18 | |
*** space_zealot has quit IRC | 22:33 | |
*** stzsch has quit IRC | 23:00 | |
*** stzsch has joined #symbiflow | 23:02 | |
*** space_zealot has joined #symbiflow | 23:20 | |
*** space_zealot has quit IRC | 23:33 |
Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!