Thursday, 2020-01-23

*** tpb has joined #symbiflow00:00
*** allenlorenz1 has joined #symbiflow00:31
*** allenlorenz1 has left #symbiflow00:42
*** allenlorenz1 has joined #symbiflow00:43
*** allenlorenz1 has quit IRC00:47
*** allenlorenz1 has joined #symbiflow00:56
*** allenlorenz1 has left #symbiflow00:57
*** allenlorenz has joined #symbiflow01:05
*** allenlorenz has left #symbiflow01:11
-_whitenotifier-3- [sv-tests] alainmarcel opened issue #580: BlackParrot Verilog vs SystemVerilog files - https://git.io/JvLpG01:33
*** citypw has joined #symbiflow01:49
*** proteus-guy has joined #symbiflow03:04
mithrohackerfoo: What does high_fanout_threadhold actually do?03:51
*** proteus-guy has quit IRC04:12
*** proteus-guy has joined #symbiflow04:14
hackerfoomithro: It's the fanout threshold where this function is used instead of `timing_driven_route_connection_from_route_tree`: https://github.com/verilog-to-routing/vtr-verilog-to-routing/blob/master/vpr/src/route/route_timing.cpp#L1386-L145004:39
tpbTitle: vtr-verilog-to-routing/route_timing.cpp at master · verilog-to-routing/vtr-verilog-to-routing · GitHub (at github.com)04:39
hackerfoo"Unlike timing_driven_route_connection_from_route_tree(), only part of the route tree which is spatially close to the sink is added to the heap."04:40
hackerfooIf the criticality is also above 0.904:42
hackerfooI should probably set a breakpoint to make sure this code is actually being used.04:43
*** Bertl_oO is now known as Bertl_zZ05:29
*** OmniMancer has joined #symbiflow05:41
*** heijligen has quit IRC06:05
*** piegames1 has quit IRC06:05
*** heijligen has joined #symbiflow06:28
*** piegames1 has joined #symbiflow06:31
*** Vonter has quit IRC06:33
*** Vonter has joined #symbiflow06:34
*** Vonter has joined #symbiflow06:36
*** Vonter has quit IRC07:11
*** futarisIRCcloud has joined #symbiflow07:16
*** Vonter has joined #symbiflow07:21
*** synaption[m] has quit IRC07:59
*** perillamint has quit IRC07:59
*** lromor[m] has quit IRC07:59
*** luaraneda has quit IRC07:59
*** alexhw[m] has quit IRC08:00
*** tux3 has quit IRC08:00
*** ZirconiumX has quit IRC08:00
*** galv[m] has quit IRC08:00
*** bunnie[m] has quit IRC08:00
*** felix_ has quit IRC08:00
*** grummel has quit IRC08:00
*** Ultrasauce has quit IRC08:00
*** flokli has quit IRC08:00
*** ZipCPU has quit IRC08:00
*** xobs has quit IRC08:02
*** hzeller[m] has quit IRC08:03
*** nrossi has quit IRC08:03
*** ZirconiumX has joined #symbiflow08:04
*** flokli has joined #symbiflow08:04
*** felix_ has joined #symbiflow08:04
*** grummel has joined #symbiflow08:04
*** Ultrasauce has joined #symbiflow08:04
*** ZipCPU has joined #symbiflow08:04
*** az0re has joined #symbiflow08:12
*** perillamint has joined #symbiflow08:46
*** tux3 has joined #symbiflow08:46
*** _whitelogger has quit IRC09:07
*** _whitelogger has joined #symbiflow09:09
*** rvalles_ has quit IRC09:35
*** rvalles_ has joined #symbiflow09:48
*** tiwEllien has joined #symbiflow09:49
*** proteus-guy has quit IRC09:55
*** killruana has quit IRC09:59
*** killruana has joined #symbiflow10:03
*** proteus-guy has joined #symbiflow10:33
*** proteus-guy has quit IRC10:54
*** proteus-guy has joined #symbiflow11:00
sf-slack1<tmichalak> mithro: I was thinking about how to color the resource usage in a dcp derived from a fasm2bels design11:00
*** tiwEllien has quit IRC11:00
sf-slack1<tmichalak> mithro: Since what we get currently is already too late because we only see sites` names without any suggestions what they might belong to I guess the only way is to do some preprocessing, preferably at Yosys stage11:02
*** alexhw has quit IRC11:03
*** proteus-guy has quit IRC11:04
sf-slack1<tmichalak> mithro: maybe add a COLOR attribute to each cell and making sure that lands in the eblif which can be later on processed by fasm2bels and assign the color to the decoded part11:05
sf-slack1<tmichalak> mithro: and eventually call highlight_objects in the vivado tcl script11:06
sf-slack1<tmichalak> I am not sure though whether fasm2bels can easily do the processing/assignment and how much overhead this will add11:09
sf-slack1<acomodi> I think it is a good idea actually, and it could greatly help debugging implemented designs. Where would the color attribute be defined?11:12
*** proteus-guy has joined #symbiflow11:17
sf-slack1<tmichalak> It could be a done with a command added with a plugin11:18
sf-slack1<tmichalak> That would take a parent module name and color and assign it to all child cells11:20
*** Bertl_zZ is now known as Bertl11:41
sf-slack1<acomodi> litghost: regarding RAM32X1S, I believe that, even though the configuration obtained with symbiflow works on HW (meaning that we can have 8 of them in a single slice), is actually not supported in vivado12:06
sf-slack1<acomodi> This is written regarding RAM32X1S template: `// RAM32X1S: 32 x 1 posedge write distributed (LUT) RAM (Mapped to a SliceM LUT6)`12:07
*** acomodi has joined #symbiflow12:09
-_whitenotifier-3- [symbiflow-arch-defs] acomodi opened issue #1274: ReadTheDocs CI target randomly failing - https://git.io/Jvtsv12:15
*** Vonter has quit IRC12:29
*** nrossi has joined #symbiflow12:30
*** luaraneda has joined #symbiflow12:30
*** synaption[m] has joined #symbiflow12:30
*** alexhw[m] has joined #symbiflow12:30
*** bunnie[m] has joined #symbiflow12:30
*** xobs has joined #symbiflow12:30
*** hzeller[m] has joined #symbiflow12:31
*** galv[m] has joined #symbiflow12:31
*** lromor[m] has joined #symbiflow12:31
*** Vonter has joined #symbiflow12:46
*** proteus-guy has quit IRC13:59
*** _whitelogger has quit IRC14:55
*** _whitelogger has joined #symbiflow14:57
*** acomodi has quit IRC14:59
*** proteus-guy has joined #symbiflow15:01
*** alexhw has joined #symbiflow15:43
*** citypw has quit IRC15:43
*** OmniMancer has quit IRC16:24
*** Bertl is now known as Bertl_oO17:16
-_whitenotifier-3- [symbiflow-arch-defs] litghost opened issue #1275: [xc7] 128-bit and 256-bit LUT-RAM vendor tests are disabled - https://git.io/Jvt4N17:30
*** tiwEllien has joined #symbiflow17:38
*** Vonter has quit IRC17:41
*** Vonter has joined #symbiflow17:42
*** tiwEllien has quit IRC18:04
*** tiwEllien has joined #symbiflow18:54
mithroacomodi: https://github.com/SymbiFlow/prjxray/pull/120519:04
tpbTitle: kokoro: Behave better on large diffs. by mithro · Pull Request #1205 · SymbiFlow/prjxray · GitHub (at github.com)19:04
sf-slack1<acomodi> mithro: great, as soon as it lands I'll revert the last commit19:08
*** az0re has quit IRC19:53
*** tiwEllien has quit IRC20:01
*** emily is now known as emilazy20:06
*** az0re has joined #symbiflow20:13
*** emilazy is now known as emily20:33
*** emily is now known as emilazy20:33
*** alexhw has quit IRC21:19
*** yeti has quit IRC21:19
*** tbisker8 has quit IRC21:19
*** adjtm has quit IRC21:19
*** lopsided98 has quit IRC21:19
*** az0re has quit IRC21:20
*** adjtm has joined #symbiflow21:23
*** alexhw has joined #symbiflow22:03
*** yeti has joined #symbiflow22:03
*** tbisker8 has joined #symbiflow22:03
*** lopsided98 has joined #symbiflow22:03
*** yeti has quit IRC22:06
*** yeti has joined #symbiflow22:07
-_whitenotifier-3- [sv-tests] jwagen opened issue #581: Add tool using ANTLR syntax - https://git.io/Jvtg622:10
hackerfooI have verified that the high fanout code is being called successfully; it does it's job 2881 times for picosoc.22:37
*** freemint has quit IRC22:38
*** renze has quit IRC22:53
*** freemint has joined #symbiflow23:11
hackerfooThis feature seems to consume a ridiculous amount of memory, maxing out my 128GB machine, and using up about the same in swap.23:13
hackerfooOr maybe it's because I used `make -j all_xc7`.23:15
*** freemint has quit IRC23:16
litghostIf you are using VPR with the XML rr graph reader, then each instance uses around 7 GB23:17
hackerfooYeah, that would do it.23:20
hackerfooMaybe we should get a RAM manufacturer to support us, so they can sell more RAM.23:23

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!