Wednesday, 2020-01-15

*** tpb has joined #symbiflow00:00
*** proteus-guy has quit IRC02:17
*** proteus-guy has joined #symbiflow02:28
*** proteus-guy has quit IRC02:39
*** citypw has joined #symbiflow03:00
*** az0re has quit IRC03:41
*** _whitelogger has quit IRC04:07
*** _whitelogger has joined #symbiflow04:09
*** proteusguy has quit IRC04:38
*** proteusguy has joined #symbiflow04:50
*** craigo has joined #symbiflow05:38
*** Bertl_zZ is now known as Bertl06:19
*** az0re has joined #symbiflow06:48
*** Bertl is now known as Bertl_oO07:07
*** OmniMancer has joined #symbiflow07:45
*** az0re has quit IRC08:29
Xiretzadaveshah: nextpnr-xilinx routing has become incredibly slow, basically not finishing at all for anything larger than a few gates, is that known?09:05
daveshahXiretza: there was a change relating to some IO but it shouldn't add more than a second or so09:06
daveshahHave you rebuilt the database after updating?09:06
Xiretzadaveshah: prjxray/database hasn't updated since december, but I did rerun bbaexport/bbasm, yes09:08
daveshahYeah that's what I was checking09:09
daveshahattosoc.sh still routes fine for me, about 18s which is similar to before09:09
daveshahYou can try the router2 branch, that is a new experiemental router that is usually much faster09:10
Xiretzadaveshah: I did try that, but it errored because it couldn't find a route - maybe I just hadn't rebuilt the db though, will try that now09:13
daveshahIf it hits an error then that needs to be looked into - router2 will be the default for xilinx very soon09:14
Xiretzadaveshah: router2 managed to route one design now, but another (that didn't ever finish with latest xilinx branch) gives "Failed to route arc 0 of net [...]".09:21
daveshahCan you post the design?09:22
daveshahalso, curious how quickly did old nextpnr route it? how big is it?09:22
Xiretzait's just the IO part of a UART (state machine, shift registers, parity), so not very09:23
daveshahMy guess is that somehow the design is being placed in an unrouteable way09:24
Xiretzawhat would you like, write_verilog output, json? the actual sources are VHDL09:24
daveshahjson and xdc09:24
*** rvalles_ has quit IRC09:33
Xiretzadaveshah: http://misc.xiretza.xyz/router2_failed_to_route.tar.gz09:39
daveshahXiretza: thanks, I can reproduce the bug09:47
*** rvalles_ has joined #symbiflow09:47
daveshahYup, it's a random placer bug as thought09:50
daveshahXiretza: fix pushed09:57
Xiretzadaveshah: great, it works!10:31
*** alexhw has quit IRC10:57
*** alexhw has joined #symbiflow11:38
*** citypw has quit IRC13:31
*** Xiretza has quit IRC14:00
*** Xiretza has joined #symbiflow14:21
*** Xiretza has quit IRC14:22
*** Xiretza has joined #symbiflow14:22
*** mario_h has joined #symbiflow15:38
*** OmniMancer has quit IRC15:57
*** benreynwar has quit IRC17:34
*** benreynwar has joined #symbiflow17:34
*** flammit has quit IRC17:34
*** flammit has joined #symbiflow17:35
*** litghost has quit IRC17:55
*** litghost has joined #symbiflow17:56
*** nickray has quit IRC18:31
*** sorear has quit IRC18:31
*** litghost has quit IRC18:31
*** mats has quit IRC18:32
*** mithro has quit IRC18:32
*** ovf has quit IRC18:32
*** _florent_ has quit IRC18:32
*** daveshah has quit IRC18:32
*** flammit has quit IRC18:32
*** elms has quit IRC18:32
*** emily has quit IRC18:32
*** digshadow has quit IRC18:33
*** nickray has joined #symbiflow18:34
*** _florent_ has joined #symbiflow18:34
*** ovf has joined #symbiflow18:35
*** mithro has joined #symbiflow18:35
*** sorear has joined #symbiflow18:36
*** elms has joined #symbiflow18:36
*** digshadow has joined #symbiflow18:37
*** daveshah has joined #symbiflow18:38
*** mithro has joined #symbiflow18:38
*** _florent_ has quit IRC18:42
*** sorear has quit IRC18:42
*** litghost has joined #symbiflow18:44
*** sorear has joined #symbiflow19:00
*** mario_h has quit IRC19:02
*** craigo has quit IRC19:06
*** sorear has quit IRC19:08
*** Bertl_oO is now known as Bertl_zZ19:59
*** Thalheim has quit IRC20:04
*** Thalheim has joined #symbiflow20:08
*** _florent_ has joined #symbiflow20:36
*** futarisIRCcloud has quit IRC21:31
hackerfooDo we have something like DRCs yet? Would it be worth adding some checks for clock routing?21:51
hackerfooI know we have fasm2v, but it still might be worth adding our own checks.21:52
*** flammit has joined #symbiflow23:29

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!