Friday, 2019-12-27

*** tpb has joined #symbiflow00:00
*** proteus-guy has joined #symbiflow00:47
*** proteus-guy has quit IRC01:36
*** kraiskil has joined #symbiflow03:20
*** OmniMancer has joined #symbiflow03:30
*** OmniMancer has quit IRC07:52
*** Bertl is now known as Bertl_zZ07:57
*** kraiskil has quit IRC08:21
*** _whitelogger has quit IRC08:49
*** _whitelogger has joined #symbiflow08:51
*** _whitelogger has quit IRC09:10
*** _whitelogger has joined #symbiflow09:12
*** _whitelogger has quit IRC09:16
*** _whitelogger has joined #symbiflow09:18
*** rvalles_ has quit IRC09:33
*** rvalles_ has joined #symbiflow09:47
*** OmniMancer has joined #symbiflow10:32
*** mario_h has joined #symbiflow11:19
*** mario_h has quit IRC11:26
*** mario_h has joined #symbiflow11:33
*** zeigren has quit IRC11:33
*** hzeller[m] has quit IRC11:33
*** nrossi has quit IRC11:33
*** lromor[m] has quit IRC11:33
*** synaption[m] has quit IRC11:34
*** xobs has quit IRC11:34
*** bunnie[m] has quit IRC11:34
*** mrhat2010[m] has quit IRC11:34
*** mario_h has quit IRC11:42
*** _whitelogger has quit IRC11:49
*** _whitelogger has joined #symbiflow11:51
*** killruana has quit IRC12:04
*** killruana has joined #symbiflow12:07
*** synaption[m] has joined #symbiflow12:39
*** bunnie[m] has joined #symbiflow12:39
*** nrossi has joined #symbiflow12:39
*** xobs has joined #symbiflow12:39
*** hzeller[m] has joined #symbiflow12:39
*** lromor[m] has joined #symbiflow12:39
*** mrhat2010[m] has joined #symbiflow12:39
*** zeigren has joined #symbiflow12:39
sf-slack<rodrigomelo9> Hi everybody, my name is Rodrigo, from Argentina (my mother tongue is Spanish). I am an Electronic Engineer and I have been working with FPGAs since 2006. I have more experience with Xilinx devices (starting from Spartan 2), but also I used devices from Intel/Altera (Cyclone IV, V, max X), Microchip/Microsemi/Actel (SmartaFusion2 and ProASCI 3) and Lattice (iCE40). Moreover, I am a Free Software user (I mainly12:44
sf-slackuse Debian GNU/Linux workstations).  I have some opensource related projects in GitHub and GitLab (IP cores and tools) but I want to help with opensource FPGA flows. I could help with things related to VHDL, Verilog, Tcl, Python, Bash, Makefiles, FPGA EDA tools, testing things with hardware, etc.  As I commented to @me1 here https://github.com/SymbiFlow/symbiflow-arch-defs/issues/1243#issuecomment-569256099, I am interested to12:44
sf-slackstart to work getting the Xilinx primitives.  Well, it was my introduction for you to know me.  Regards12:44
tpbTitle: parse_pdf_modules.py seems to be not working · Issue #1243 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)12:44
*** mario_h has joined #symbiflow12:46
*** anon2 has joined #symbiflow12:50
*** mario_h has quit IRC12:53
*** kraiskil has joined #symbiflow13:32
*** Bertl_zZ is now known as Bertl14:12
*** kraiskil has quit IRC14:26
*** kraiskil has joined #symbiflow14:27
Xiretzadaveshah: hey, do you happen to be at 36c3? I have a few questions regarding nextpnr-xilinx that would probably be easier and faster to talk about in person :)14:31
*** kraiskil has quit IRC14:34
*** _whitelogger has quit IRC14:40
*** _whitelogger has joined #symbiflow14:42
daveshahXiretza: no, I'm not15:28
Xiretzadaveshah: ah, alright. I may be doing something wrong, but following the Arty example in the readme, fasm2frames.py fails because it can't find a few *.OSERDESE.* keys, which are generated by xilinx/fasm.cc:124-127. Since I have no idea what I'm doing, I just tried changing OSERDESE to OSERDES there and don't get any warnings anymore - was that a horrible idea?15:39
daveshahXiretza: it might be a change in prjxray15:40
daveshahI'm not even sure where they come from15:40
daveshahI would need to have more of a look15:40
Xiretzashould I just open an issue on github?15:40
daveshahPlease do15:42
daveshahCan you include the fasm output too?15:42
Xiretzayeah, I'll do that. there's at least one more thing that broke due to prjxray changes that I managed to fix myself, I'll open a PR(s) for that.15:44
daveshahThanks15:45
daveshahThis is why I am not promoting nextpnr-xilinx too heavily btw (although I'm very glad of other devs testing/helping), it's still a very unstable environment15:46
Xiretzayeah, that's the feeling I got too, the readme however is already a great resource :)15:47
Xiretzadaveshah: also, is the nextpnr_rw_usp yosys branch still required? everything seems to be working fine with mainline yosys, don't know if the bitstream is correct though.16:32
daveshahNo, mainline Yosys should be OK now16:33
daveshahIt hasn't been very well tested and it may occasionally infer distributed RAM primitives that aren't supported, but it would error out in that case16:33
Xiretzadaveshah: alright, I'll update the docs then16:33
daveshahDue to some routeability issues, with upstream Yosys adding `-nowidelut` to `synth_xilinx` often results in much shorter route times (with a small timing penalty). This might be something worth adding too16:37
daveshahabc9 would be better but I think it uses a carry primitive that nextpnr-xilinx doesn't support yet16:37
*** kraiskil has joined #symbiflow16:41
*** kraiskil has quit IRC16:57
*** davidthings has joined #symbiflow17:23
*** davidw has joined #symbiflow17:23
*** davidw is now known as Guest2141517:23
*** Guest21415 has left #symbiflow18:01
*** davidthings has quit IRC18:01
*** davidthings has joined #symbiflow18:12
Xiretzadaveshah: I'm assuming `-nobram` is a leftover from when BRAMs weren't as developed yet? it seems to work without it now. also `-nowidelut` actually results in lower f_max with the attosoc example18:21
daveshahYeah, do note the Fmax numbers it produces are not correct for xc7 btw18:23
Xiretzaah, is the slack histogram any better? it looks about the same as well, with -nowidelut maybe with a little better distribution on the lower end, but nothing major. I'll add it if you say it has a benefit though.18:28
*** OmniMancer has quit IRC18:30
davidthingsdaveshah: I pulled and rebuilt prjtrellis this AM from master and after months of flawless performance, my Superconference badges do not do anything when flashed (dfu_utils).  I have confirmed old .bit files still work.  And even the simplist project (blink an LED) do not work.  I'm trying a version of prjtrellis from a month ago to see if I can find a working version.  Any hints? Any thoughts?18:30
daveshahDo you mean just prjtrellis or the whole flow?18:37
daveshahI can't think of any reason for this18:37
davidthingsI pulled and rebuilt the whole thing18:37
davidthingsOK.  I'm going to do some binary searches to see if I can find something that works for me.18:37
davidthingsI will report back.18:38
davidthingscd ..18:40
*** davidthings has quit IRC18:44
*** davidthings has joined #symbiflow18:45
*** kraiskil has joined #symbiflow18:53
*** anon2 has quit IRC19:51
*** mario_h has joined #symbiflow19:53
davidthingsdaveshah: I'm a bit confused...  are the authoritative versions of nextpnr and yosys in yosysHQ?  And if so, where is the authoritative prjtrellis?  IceStorm?20:05
daveshahdavidthings: Authoritative Yosys and nextpnr are YosysHQ. prjtrellis is SymbiFlow. icestorm is cliffordwolf20:21
davidthingsThanks20:24
*** Bertl is now known as Bertl_oO20:34
davidthingsHappy to report my code is all working on the latest tools.  Somewhere along the way I had started adding the -abc9 flag to Yosys.  Removing that makes everything work again.21:47
*** flokli has joined #symbiflow21:51
*** mario_h has quit IRC21:53
*** mario_h has joined #symbiflow21:53
*** mario_h has quit IRC21:56
daveshahdavidthings: `-abc9` should definitely not be breaking things21:56
daveshahcan you share the simplest example that it breaks21:57
davidthingsSure.22:30
davidthingsGimme a sec to make something mininal, Dave.22:32
davidthingsHere's a fragment that shows the problem.  https://pastebin.com/09VVPnsM22:41
tpbTitle: [VeriLog] /* Build with the following and no blink. Omit the -abc9 and blink. %.json - Pastebin.com (at pastebin.com)22:41
davidthingsLet me know if I can help any further.  I'm just so happy that my code works again...22:44
*** davidw has joined #symbiflow22:47
*** davidw is now known as Guest9099222:47
*** davidthings has quit IRC22:49
*** Guest90992 has quit IRC22:53
*** davidthings has joined #symbiflow22:53
*** mario_h has joined #symbiflow23:15
*** anon2 has joined #symbiflow23:17
*** mario_h has quit IRC23:18

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!