Wednesday, 2019-12-04

*** tpb has joined #symbiflow00:00
*** freemint has quit IRC01:52
*** freemint has joined #symbiflow01:54
*** freemint has quit IRC02:05
*** freemint has joined #symbiflow02:06
*** nrossi has joined #symbiflow02:16
hackerfoohttps://opencores.org/news/157539251903:10
hackerfooThey mentioned adding "continuous verification."03:11
*** freemint has quit IRC03:42
*** freemint has joined #symbiflow03:42
*** freeemint has joined #symbiflow03:43
*** freemint has quit IRC03:46
*** titanbiscuit has quit IRC04:45
*** proteusguy has quit IRC05:03
*** proteusguy has joined #symbiflow05:04
*** titanbiscuit has joined #symbiflow05:05
*** freeemint has quit IRC05:29
*** freeemint has joined #symbiflow05:38
*** freeemint has quit IRC05:43
*** citypw has joined #symbiflow05:46
*** freeemint has joined #symbiflow05:47
*** freeemint has quit IRC05:52
*** OmniMancer has joined #symbiflow06:25
*** Bertl_oO is now known as Bertl_zZ06:51
*** rvalles has joined #symbiflow07:34
*** titanbiscuit has quit IRC07:41
*** rvalles has quit IRC07:42
*** titanbiscuit has joined #symbiflow07:43
*** rvalles has joined #symbiflow07:45
*** rvalles has quit IRC08:06
*** freeemint has joined #symbiflow08:23
*** duck2 has quit IRC08:24
*** duck2 has joined #symbiflow08:25
*** freeemint has quit IRC08:27
*** tmichalak has joined #symbiflow08:35
*** rvalles has joined #symbiflow08:51
*** titanbiscuit has quit IRC09:16
*** titanbiscuit has joined #symbiflow09:17
*** rvalles has quit IRC09:33
*** titanbiscuit has quit IRC09:35
*** titanbiscuit has joined #symbiflow09:36
*** rvalles has joined #symbiflow09:47
*** freeemint has joined #symbiflow10:01
*** freeemint has quit IRC10:05
-_whitenotifier-5- [symbiflow-arch-defs] acomodi opened issue #1198: Litex pending PRs - https://git.io/JeDCr10:45
*** freeemint has joined #symbiflow11:19
*** freeemint has quit IRC11:24
*** freeemint has joined #symbiflow11:34
*** freeemint has quit IRC11:39
*** freeemint has joined #symbiflow12:21
*** freeemint has quit IRC12:25
*** freeemint has joined #symbiflow12:28
*** freeemint has quit IRC12:32
*** freeemint has joined #symbiflow12:39
*** freeemint has quit IRC12:41
*** freeemint has joined #symbiflow12:41
*** freeemint has quit IRC12:56
*** freeemint has joined #symbiflow12:56
*** bit0fun has joined #symbiflow13:16
*** freeemint has quit IRC13:25
*** Bertl_zZ is now known as Bertl13:59
*** Bertl has quit IRC14:04
*** Bertl has joined #symbiflow14:10
*** Bertl has quit IRC14:14
*** Bertl has joined #symbiflow14:20
*** OmniMancer has quit IRC16:29
*** citypw has quit IRC16:30
*** xi53 has joined #symbiflow17:06
xi53does Project X-Ray have Artix-7 200tfbg676 database17:08
*** phy has joined #symbiflow17:15
*** phy is now known as Guest6925317:15
*** Guest69253 has quit IRC17:16
*** celadon_ has quit IRC17:37
hackerfooThe VtR nightly test fails if the results are better than the golden?17:39
hackerfoohttps://source.cloud.google.com/results/invocations/1b8d87aa-2aad-45e7-b2e8-0573ffbe3fa5/targets/foss-fpga-tools%2Fverilog-to-routing%2Fsymbiflow%2Fpresubmit%2Fnightly/log17:39
*** clacktronics has quit IRC17:54
*** clacktronics has joined #symbiflow17:54
*** clacktronics has quit IRC17:56
*** clacktronics has joined #symbiflow17:56
litghostxi53: No, but it can with hopefully a small amount of effort17:56
litghostxi53: https://github.com/SymbiFlow/prjxray/pull/1162 is an example switching the z7 from xc7z010clg400-1 to xc7z020clg400-117:57
tpbTitle: WIP: Tilegrid generation for Zynq 7020 by tmichalak · Pull Request #1162 · SymbiFlow/prjxray · GitHub (at github.com)17:57
litghostxi53: Changing "settings/artix7.sh" part and re-run should generate a 200tfbg676 database.17:58
litghostxi53: If you are inclined, we do need a way to run the 005-tilegrid and 074-dump_all fuzzer against different parts, to generate tilegrid and tileconn for different fabrics17:59
litghosthackerfoo: Most of those failures are in the bad direction18:02
litghostExample:18:02
litghostregression_tests/vtr_reg_nightly/complex_switch...[Fail]18:02
litghost k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml/mkDelayWorker32B.v/common place_time: golden = 6.97 result = 151.7118:02
litghostIn general though the nightly/weekly QoR results are not super interesting to us18:02
litghostWe mostly care about the QoR / runtime from the VTR + symbiflow run18:03
hackerfooThe only changes I made were adding the Cost_Entry fill field back and removing an unused struct definition, so I'm not sure what happened.18:05
hackerfooWait, I'm not sure that test finished before.18:06
hackerfooYeah, it failed in the same way on earlier commits.18:08
-_whitenotifier-5- [vtr-verilog-to-routing] HackerFoo opened issue #341: Penalize base_cost outside of lookahead cost map - https://git.io/JeD2S19:10
*** mohamed has quit IRC19:26
bunnielitghost: mithro: thanks for the explanations, really appreciate it. It would be the case that any mods that activate an previously unknown feature would come out in a diff at least of the bitstreams, which is probably good enough....20:03
*** bunnievorpal[m] has joined #symbiflow20:04
*** bunnie has left #symbiflow20:06
*** bunnievorpal[m] is now known as bunnie[m]20:06
*** nrossi has quit IRC21:07
*** Bertl is now known as Bertl_zZ22:30
mithrodaveshah: this seems up your alley https://twitter.com/ApertusOSCinema/status/1202280446408773632?s=2023:53

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!