Wednesday, 2019-11-20

*** tpb has joined #symbiflow00:00
*** freemint has quit IRC00:31
*** freemint has joined #symbiflow00:31
*** kraiskil has quit IRC00:38
*** freemint has quit IRC00:55
*** freemint has joined #symbiflow00:55
*** freemint has quit IRC01:30
*** freemint has joined #symbiflow01:31
*** dnotq has quit IRC02:11
*** ghjklou has joined #symbiflow03:02
*** ghjklou has quit IRC03:03
*** davidthings has joined #symbiflow03:17
*** nrossi has joined #symbiflow03:19
*** davidthings has quit IRC03:22
*** davidthings has joined #symbiflow03:25
*** davidthings has quit IRC03:27
*** davidthings has joined #symbiflow03:28
-_whitenotifier-f- [sv-tests] mithro opened issue #451: Test failing on kokoro - https://git.io/Je6fh03:32
*** freemint has quit IRC04:51
*** citypw has joined #symbiflow05:07
*** davidw has joined #symbiflow05:32
*** davidthings has quit IRC05:32
*** davidw is now known as Guest7396305:33
*** unkraut has joined #symbiflow05:41
*** Bertl_zZ is now known as Bertl_oO06:12
*** proteus-guy has joined #symbiflow06:17
*** OmniMancer has joined #symbiflow06:20
-_whitenotifier-f- [sv-tests] mithro opened issue #453: Tag not present in the database: basejump and 16.9 - https://git.io/Je6Uz06:30
*** iu has joined #symbiflow06:33
*** iu has quit IRC06:41
*** proteus-guy has quit IRC07:22
*** proteus-guy has joined #symbiflow08:01
*** kraiskil has joined #symbiflow08:12
*** ZipCPU has quit IRC08:40
*** kraiskil has quit IRC08:43
*** ZipCPU has joined #symbiflow08:44
*** celadon has joined #symbiflow08:59
-_whitenotifier-f- [symbiflow-arch-defs] kgugala opened issue #1159: Add support for multiple parts in families - https://git.io/Je6IE09:23
-_whitenotifier-f- [symbiflow-arch-defs] kgugala opened issue #1160: Create make install target - https://git.io/Je6Ij09:40
*** kraiskil has joined #symbiflow09:48
-_whitenotifier-f- [symbiflow-arch-defs] mkurc-ant opened issue #1161: Inference of 3-state IOBUFs in Yosys - https://git.io/Je6LD10:16
*** proteus-guy has quit IRC11:03
*** proteus-guy has joined #symbiflow11:18
-_whitenotifier-f- [python-symbiflow-v2x] glatosinski opened issue #21: Errors during Travis CI tests - https://git.io/Je6q111:50
*** freemint has joined #symbiflow12:18
*** freemint has quit IRC12:55
*** freemint has joined #symbiflow13:14
*** freeemint has joined #symbiflow13:22
*** freemint has quit IRC13:22
*** freeemint has quit IRC13:37
*** freemint has joined #symbiflow13:37
*** freemint has quit IRC13:39
*** freemint has joined #symbiflow13:39
bunnieso...i got through step 7 (i think) on the quickstart, I have a lot of data in 072-ordered_wires. But when I run step 9, i get a "no tilegrid.json" found error. What am I doing wrong?13:46
bunnieI've got a "run.ok" in a few directories too now, so something seems to be working, but for example I don't see an entry for spartan7 in the database directory, so I'm worried I've got something configured wrong? The export XRAY_DATABASE is spartan7 in my environment.13:49
*** freemint has quit IRC13:54
*** OmniMancer has quit IRC13:54
*** freemint has joined #symbiflow13:54
bunnieyes, it definitely seems the lack of a database/spartan7/partnumber.yaml file is holding things up. What's the right way for me to go about building the spartan7 database .yaml/.json files?13:59
*** freemint has quit IRC14:09
*** freemint has joined #symbiflow14:11
*** freeemint has joined #symbiflow14:11
*** freeemint has quit IRC14:13
*** freemint has joined #symbiflow14:15
*** kraiskil has quit IRC14:17
*** freeemint has joined #symbiflow14:20
*** freeemint has quit IRC14:20
*** freeemint has joined #symbiflow14:20
*** freeemint has quit IRC14:20
*** freemint has quit IRC14:22
*** freemint has joined #symbiflow14:24
*** kraiskil has joined #symbiflow14:31
miekbunnie: it looks like those should be built by 001-part-yaml?14:43
*** freemint has quit IRC14:58
*** proteus-guy has quit IRC15:00
*** Guest73963 has quit IRC15:04
*** Guest73963 has joined #symbiflow15:04
*** freemint has joined #symbiflow15:12
*** kraiskil has quit IRC15:17
-_whitenotifier-f- [python-symbiflow-v2x] glatosinski opened issue #22: Changing outputs of the `vlog_to_pbtype` function in Python 3.5 - https://git.io/Je6ZU15:25
litghostbunnie: Ya, 001 generates the partnumber.yaml15:32
litghostbunnie: And any fuzzer that touches the bitstream needs it15:32
litghostbunnie: It is a very fast fuzzer, you should be able to run it15:32
litghostbunnie: FYI, the fuzzer order info is located here; https://github.com/SymbiFlow/prjxray/blob/master/fuzzers/Makefile#L5715:34
tpbTitle: prjxray/Makefile at master · SymbiFlow/prjxray · GitHub (at github.com)15:34
*** vaughnbetz has joined #symbiflow15:38
*** mrhat2010[m] has quit IRC15:40
*** alexhw[m] has quit IRC15:41
vaughnbetzSome info on artix7 optimization quality: router time is down to 29 s and 71 s for murax and picosoc respectively with the Nov. 17 Symbiflow build. The router is using its defaults of astar_fac = 1.2 and bb_factor = 3; critical path delay actually improved a little (5%) vs. the older build  we were using. Top 3 routines from gprof are15:43
*** YFWang97 has joined #symbiflow15:43
vaughnbetzhttps://www.irccloud.com/pastebin/SJGpv0JL/15:43
tpbTitle: Snippet | IRCCloud (at www.irccloud.com)15:43
vaughnbetzKeith, should we try again with a larger region of interest?  How do we get the larger region of interest?15:44
bunnieah ok. I see the problem. I first have to mkdir spartan7 in database. Everything breaks without that directory being made first. I thought the errors saying file can't be found was complaining about them not existing in the first place, but in fact the root cause is there is no spartan7 directory.15:54
bunniefor some reason I thought that would be automatic as part of the script.15:54
bunnieyah. things running much better now. :)15:57
*** freemint has quit IRC16:02
*** freemint has joined #symbiflow16:05
*** YFWang97 has quit IRC16:17
-_whitenotifier-f- [sv-tests] mithro opened issue #456: Tar up the outputs on Kokoro - https://git.io/Je6nF16:17
*** citypw has quit IRC17:09
*** Guest73963 has quit IRC17:14
*** freemint has quit IRC17:25
*** mkru has joined #symbiflow17:36
*** kgugala has joined #symbiflow17:41
litghostvaughnbetz: That is true.  The full 50T graph is postfixed with "_full"18:12
litghostvaughnbetz: So the 1/5 50T graph picosoc is picosoc_basys_bin18:12
litghostvaughnbetz: The full 50T graph picosoc is picosoc_basys3_full_bin18:12
litghostSorry type above the 1/5 50T is picosoc_basys3_bin18:13
litghostvaughnbetz: The ROI is no longer present for two target types no, instead we have the idea of a "graph limit", which dynamically limits the imported graph size18:13
litghostvaughnbetz: In the absence of the graph limit it uses the whole part18:14
*** dnotq has joined #symbiflow18:15
litghostvaughnbetz: We current have a 2/5 50T graph which is called "xc7a50t-bottom", defined here: https://github.com/SymbiFlow/symbiflow-arch-defs/blob/master/xc7/archs/artix7/devices/xc7a50t-bottom-virt/CMakeLists.txt#L2818:15
tpbTitle: symbiflow-arch-defs/CMakeLists.txt at master · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)18:15
litghostvaughnbetz: The primary reason we are using the bottom two CMT's is because VPR doesn't have clock network specific placement implemented (per https://github.com/verilog-to-routing/vtr-verilog-to-routing/issues/1045#issuecomment-553949121)18:15
tpbTitle: Placer awareness of dedicate routing resources · Issue #1045 · verilog-to-routing/vtr-verilog-to-routing · GitHub (at github.com)18:15
litghostvaughnbetz: The PLL <-> BUFG relationship requires special placement requirements18:16
litghostvaughnbetz: We avoid having VPR know about them by only importing the portion of the graph that is valid18:16
*** Guest73963 has joined #symbiflow18:17
bunniehmm...i'm getting stuck in 005-tilegrid now, the specific issue being that IOBX0Y101 doesn't exist in this part. I checked in the layout editor, and it's not there, but for some reason it's being extracted into the tiles.txt file. I tried adjusting the XRAY_ROY IOB range to IOB_X0Y0:IOB_X0Y49 in the settings file, but that didn't seem to do the trick. Any hints appreciated :)18:53
*** kraiskil has joined #symbiflow18:56
litghost005-tilegrid has some specialized logic, did you redefine the part from artix7 to spartan7?18:59
litghostah, "make_project_roi" in https://github.com/SymbiFlow/prjxray/blob/master/fuzzers/005-tilegrid/generate_tiles.tcl#L37 needs to be replaced19:00
tpbTitle: prjxray/generate_tiles.tcl at master · SymbiFlow/prjxray · GitHub (at github.com)19:00
litghostAll the stuff about pblocks in https://github.com/SymbiFlow/prjxray/blob/015b04ce90e7c8899933f6b6f54da730a212b202/fuzzers/005-tilegrid/util.tcl#L144 can be removed19:01
tpbTitle: prjxray/util.tcl at 015b04ce90e7c8899933f6b6f54da730a212b202 · SymbiFlow/prjxray · GitHub (at github.com)19:01
bunniethanks. looks like i can just edit utils.tcl's make_iob_sites function to excise the ones that don't exist..i'll give that a try.19:12
*** davidthings has joined #symbiflow19:17
*** Guest73963 has quit IRC19:18
*** davidthings has quit IRC19:32
*** sf-slack has joined #symbiflow19:33
*** davidthings has joined #symbiflow19:33
*** davidthings has quit IRC19:51
*** davidthings has joined #symbiflow19:52
*** freemint has joined #symbiflow20:02
*** freemint has quit IRC20:57
*** freemint has joined #symbiflow20:59
*** nrossi has quit IRC21:32
*** dnotq has quit IRC21:42
*** dnotq has joined #symbiflow21:49
vaughnbetzThanks Keith.23:09
*** kraiskil has quit IRC23:38
*** kraiskil has joined #symbiflow23:45

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!