Wednesday, 2019-06-19

*** tpb has joined #symbiflow00:00
*** acomodi has quit IRC01:29
*** Bertl is now known as Bertl_zZ03:44
*** citypw has joined #symbiflow03:45
*** Vonter has quit IRC03:58
*** _whitelogger has quit IRC04:02
*** _whitelogger has joined #symbiflow04:05
*** Vonter has joined #symbiflow04:07
*** adjtm_ has joined #symbiflow05:33
*** duck25 has joined #symbiflow05:34
*** anuejn has joined #symbiflow05:35
*** adjtm has quit IRC05:36
*** duck2 has quit IRC05:36
*** anuejn_ has quit IRC05:36
*** proteusguy has quit IRC06:05
*** noahh has quit IRC06:13
*** duck25 is now known as duck206:15
*** citypw has quit IRC06:31
*** Vonter has quit IRC06:45
*** Vonter has joined #symbiflow06:45
*** proteusguy has joined #symbiflow06:49
*** citypw has joined #symbiflow07:57
*** OmniMancer has joined #symbiflow08:19
*** citypw has quit IRC11:30
*** acomodi has joined #symbiflow11:34
*** Bertl_zZ is now known as Bertl12:36
*** bjorkintosh has quit IRC13:05
*** bjorkintosh has joined #symbiflow13:05
*** bjorkintosh has quit IRC13:43
*** bjorkintosh has joined #symbiflow13:46
*** Vonter has quit IRC13:47
*** Vonter has joined #symbiflow13:49
*** alexhw has quit IRC14:11
*** bjorkintosh has quit IRC14:12
*** bjorkintosh has joined #symbiflow14:23
*** bjorkintosh has quit IRC14:33
sf-slack2<mkurc> @litghost Does it make sense to start working on clock tree synthesis by removing BUFGCTRL and BUFHCE stuff from the harness and adding it to VPR? The harness could provide the input clock wire for example at a HCLK_VBRK tile. That would allow to work on clock routing independently from the IOB stuff.14:34
sf-slack2<butta> We just pulled the newest version of symbiflow-arch-defs and now when running yosys on our tests we get the following error:15:15
sf-slack2<butta> We just pulled the newest version of symbiflow-arch-defs and now when running yosys on our tests we get the following error: symbiflow-arch-defs/xc7/techmap/cells_map.v:1397: ERROR: Can't resolve task name `\$error'.  It looks like the error reporting system $error is undefined.  Also, we are getting an error here in the first place which we weren't before and could use help tracking down this error.  It's probably15:20
sf-slack2important to mention that we overwrote brams.txt which confuses things a bit more.15:20
*** proteusguy has quit IRC15:21
litghostButta: that is a regression in yosys that was fixed yesterday15:35
*** citypw has joined #symbiflow16:03
sf-slack2<butta> @litghost Alright thanks, we fixed the issue16:30
*** proteusguy has joined #symbiflow17:09
*** OmniMancer has quit IRC17:17
*** noahh has joined #symbiflow19:13
litghostmkurc: I believe we should hold off for now.  I think there is plenty of fuzzer work that is required in parallel for https://github.com/SymbiFlow/prjxray/issues/867 , and I think that is the better short term priority.  We can re-evaluate depending on how long the IBUF/OBUF effort takes19:29
tpbTitle: Create a test design using LiteX, LiteEth and LiteDRAM and figure out what bits are still needed · Issue #867 · SymbiFlow/prjxray · GitHub (at github.com)19:29
*** citypw has quit IRC19:38
*** noahh has quit IRC21:36
hackerfooRapidWright has some nice documentation of Xilinx terminology: https://www.rapidwright.io/docs/Xilinx_Architecture.html22:08
tpbTitle: Xilinx Architecture Terminology RapidWright 2018.3.3-beta documentation (at www.rapidwright.io)22:08
*** hackkitten has quit IRC22:10
*** hackkitten has joined #symbiflow22:10
hackerfooFrom https://www.rapidwright.io/docs/Design_Checkpoints.html: A design checkpoint file (extention .dcp) is a Vivado file format that contains a synthesized netlist, design constraints and can contain placement and routing information. RapidWright provides readers and writers to parse and export the various components.23:12
sf-slack2<pgielda> Its a zip as far as I remember23:13
hackerfooIt should be possible to use their APL2.0 source to generate DCPs.23:13
sf-slack2<pgielda> (Renamed .zip file)23:13
sf-slack2<pgielda> (I might be mistaken of course, somebody would have to check)23:14
hackerfooThat's what I figured, but generating/parsing whatever is in the zip isn't always trivial.23:14
hackerfooThe zip has: dcp.xml, top.wdf, top.edf, top_stub.v, top_late.xdc, top_iPhysOpt.tcl, top.xdef, top.rda, top.shape, top.incr, top.xn, top_stub.vhdl23:20
hackerfooNevermind. The code to read/write DCPs is under a different license.23:26

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!