Monday, 2019-05-27

*** tpb has joined #symbiflow00:00
*** futarisIRCcloud has joined #symbiflow00:57
*** Vonter has quit IRC03:16
*** Vonter has joined #symbiflow03:38
*** space_zealot has quit IRC03:44
*** citypw has joined #symbiflow03:54
*** _whitelogger has quit IRC05:38
*** _whitelogger has joined #symbiflow05:41
*** OmniMancer has joined #symbiflow07:26
*** Bertl_zZ is now known as Bertl07:33
sf-slack2<acomodi> mithro: I have commented PR https://github.com/SymbiFlow/symbiflow-arch-defs/pull/775. `<tile>` tags appear in XMLs (only xc7-related), but they are "invisible" to VtR08:57
tpbTitle: Sign in to GitHub · GitHub (at github.com)08:57
sf-slack2<acomodi> mithro: more details are in the comment on GH08:57
*** citypw has quit IRC09:28
*** space_zealot has joined #symbiflow11:21
*** futarisIRCcloud has quit IRC12:19
*** OmniMancer has quit IRC12:56
*** OmniMancer has joined #symbiflow12:58
*** _whitelogger has quit IRC13:14
*** _whitelogger has joined #symbiflow13:17
mithroacomodi: Okay great14:20
mithromkurc: Where are we with the Yosys attribute + parameter stuff?14:20
sf-slack2<mkurc> @mithro I fixed handling of default values of floating point (aka real) parameters14:24
sf-slack2<mkurc> So if there are no more review issues then it is ready on my side.14:25
mithromkurc: Okay I'll take a look shortly14:26
sf-slack2<mkurc> @mithro @litghost Have you managed to successfully model an async set/reset flip-flop (eg. FDSE) with timings ? I've been trying to make such a test for V2X SDF import with no success.14:47
sf-slack2<mkurc> I tried to use the exact example as given in the VPR doc (https://docs.verilogtorouting.org/en/latest/tutorials/arch/timing_modeling), this time no async reset and the VPR segfaults with it.14:47
mithromkurc: Example?14:48
mithroFYI it is a public holiday here in the US so litghost probably won't be around today...14:48
sf-slack2<mkurc> Ahh, ok.14:48
sf-slack2<mkurc> I can prepare a short doc with explanations / examples14:48
mithromkurc: That shouldn't be needed, just an example pasted here would be enough14:51
sf-slack2<mkurc> @mithro The example is there https://docs.verilogtorouting.org/en/latest/tutorials/arch/timing_modeling/ under the "Sequential block (no internal paths)" section.14:52
sf-slack2<mkurc> @mithro The first problem is that when such a model+pb_type is injected into the v2x test suite then the VPR crashes (segfaults) with the assertion failure: `vpr/src/timing/timing_graph_builder.cpp:250 add_block_to_timing_graph: Assertion 'clk_port' failed.`14:53
sf-slack2<mkurc> @mitrho But this is just a D flip-flop14:54
mithromkurc: I saw that issue recently14:54
mithromkurc: Let me find the fix14:55
sf-slack2<mkurc> @mitrho: Ok14:55
sf-slack2<mkurc> @mitrho And another thing: when having a FF with async set/reset like FDSE then I see no way to define timing between SR and Q.14:57
mithromkurc: It is caused by the eblif not having the clock pin14:57
*** lavatech_ has joined #symbiflow14:58
mithromkurc: https://github.com/SymbiFlow/symbiflow-arch-defs/pull/703/commits/5b0105bdc55f5d5acb9235252cc248c597b4f6b7 that is the commit you need I think15:00
tpbTitle: mux_gen + v2x: Support generating FASM annotations for muxes. by mithro · Pull Request #703 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)15:00
mithromkurc: I'll pull it out into it's own pull request15:00
litghostFdse is syncronous reset15:00
litghostFdce/fdpe is async reset15:01
*** space_zealot has quit IRC15:01
litghostIn sync case, it is clock to q timing, and setup/hold on sr15:01
litghostIn async it recovery+removal on sr15:03
litghosthttps://github.com/SymbiFlow/prjxray-db/blob/master/artix7/timings/CLBLL_L.sdf#L25515:03
tpbTitle: prjxray-db/CLBLL_L.sdf at master · SymbiFlow/prjxray-db · GitHub (at github.com)15:03
mithromkurc: https://github.com/SymbiFlow/symbiflow-arch-defs/pull/78315:27
tpbTitle: Small improvements to eblif generation by mithro · Pull Request #783 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)15:27
sf-slack2<mkurc> @mitrho Thanks. And yes, I meant FDCE for async reset.15:27
*** OmniMancer has quit IRC16:21
mithromkurc: I added a bunch of tests into that pull request -> https://github.com/SymbiFlow/symbiflow-arch-defs/pull/78317:58
tpbTitle: Small improvements to EBLIF generation by mithro · Pull Request #783 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)17:58
mithrokgugala: What is the status of https://github.com/SymbiFlow/symbiflow-arch-defs/pull/756?18:45
tpbTitle: [DNM][WIP] Use Yosys to generate blif in v2x tests by kgugala · Pull Request #756 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)18:45
mithrolitghost / acomodi: kmurry just landed the reformatting patch to vtr18:53
sf-slack2<acomodi> mithro: that's great! We need to merge it to the symbiflow fork ASAP. There already is a PR open with a merge from upstream, but currently it is failing during routing. I am looking at the issue. More information in the PR itself: https://github.com/SymbiFlow/vtr-verilog-to-routing/pull/5919:20
tpbTitle: Merge upstream by acomodi · Pull Request #59 · SymbiFlow/vtr-verilog-to-routing · GitHub (at github.com)19:20
*** ZipCPU has quit IRC19:21
*** ZipCPU has joined #symbiflow19:26
*** mats has quit IRC19:27
*** mats has joined #symbiflow19:53
mithroacomodi: It looks like xc7 is failing on master at the moment?19:57
mithroacomodi: Nope, I'm work sorry...19:58
*** lavatech_ has quit IRC20:03
*** Bertl is now known as Bertl_zZ23:00

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!