Wednesday, 2019-03-20

*** tpb has joined #symbiflow00:00
*** somlo has quit IRC00:08
*** somlo has joined #symbiflow00:11
*** lopsided98 has joined #symbiflow00:34
*** lopsided98 has quit IRC01:16
*** lopsided98 has joined #symbiflow01:42
*** citypw has joined #symbiflow04:39
*** Bertl is now known as Bertl_zZ06:07
*** OmniMancer has joined #symbiflow06:20
*** futarisIRCcloud has joined #symbiflow06:52
sf-slack1<mkurc> @litghost: I can try testing it with the PicoSoC08:13
*** futarisIRCcloud has quit IRC09:07
*** Miyu has quit IRC09:08
*** Maya-sama has joined #symbiflow09:08
sf-slack1<mkurc> @litghost: I've tested your `fasm2bels.py` utility. When runned on the `chain_packing` it did worked correctly. However clock signals was not correctly translated which was expected since I've used the database without #727.09:25
sf-slack1<mkurc> @litghost: Unfortunatelly when runned on a working design of the PicoSoC (no tile grid split) I got an error `assert 'RAMB36.RAM_EXTENSION_A_NONE_OR_UPPER' in tile_features`. You said that it does not support BRAMs yet ? If so  then I can't use it right now. Or do I need the database from #727 to solve that ?09:28
*** citypw has quit IRC09:57
sf-slack1<acomodi> slicem regression test update: I have been able to shrink the `slicem.xml` test architecture to ~1300 lines. I have cleaned it up and removed some parts which were not needed. I'll try to further whittle down more un-needed parts.11:35
sf-slack1<acomodi> I have been able to further shrink `slicem.xml` (~1000 lines). I have removed all MUX[678] and maintained only the FDRE FF. I think it is not shrinkable anymore without applying major modifications. Here's the latest commit https://github.com/SymbiFlow/vtr-verilog-to-routing/pull/29/commits/fc209c4a3e0163e47657a6c50300ac6607ad8c1a12:42
tpbTitle: vpr: differing modes between lib_nets fix by acomodi · Pull Request #29 · SymbiFlow/vtr-verilog-to-routing · GitHub (at github.com)12:42
*** Bertl_zZ is now known as Bertl13:18
*** nonlinear has quit IRC13:36
litghostmkurc: only ramb18 support is present13:55
litghostMkurc: data Cascades require ramb36 support13:56
*** OmniMancer has quit IRC13:59
sf-slack1<mkurc> @litghost: That is strange, I've just checked the fasm file I'am trying to convert and there is no mention of RAMB36. It uses a few RAMB18s.14:01
litghostMkurc: Ah, did you get the fast file from vpr or from bit2fasm?14:03
sf-slack1<mkurc> from VPR14:03
sf-slack1<mkurc> Shoud I use bit2fasm ?14:03
litghostmkurc: yes14:03
sf-slack1<mkurc> I see.14:03
*** OmniMancer has joined #symbiflow14:04
litghostacomodi: great14:05
*** OmniMancer has quit IRC14:08
*** Maya-sama is now known as Miyu14:43
*** Bertl is now known as Bertl_oO16:01
*** i8hantanu has joined #symbiflow17:28
*** tyagi has joined #symbiflow17:28
*** tyagi has quit IRC17:33
*** mats has quit IRC18:38
*** daveshah has quit IRC18:39
*** kc8apf has quit IRC18:39
*** swetland has quit IRC18:39
*** swetland has joined #symbiflow18:39
*** elms has quit IRC18:39
*** daveshah has joined #symbiflow18:40
*** mats has joined #symbiflow18:41
*** elms has joined #symbiflow18:42
*** kc8apf has joined #symbiflow18:52
*** i8hantanu has quit IRC19:37
sf-slack1<acomodi> litghost, mithro: now that the SLICEM issue is solved I guess I could move back on to v2x. Around two months ago I had started dealing with that (https://github.com/SymbiFlow/symbiflow-arch-defs/pull/316). What would be one of the first things to do?20:44
tpbTitle: WIP: Improve the Verilog to XML conversion process by acomodi · Pull Request #316 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)20:44
mithroacomodi: I think that should be something we do sooner rather than later20:45
litghostacomodi: Another thing to consider doing is working on isolating patches for upstreaming to VTR.   Probably worth documenting a concrete plan to upstream the various bits.  FYI, some changes on master+wip should probably not make it upstream, e.g. the round robin work.  But many of the packer changes are fixing real bugs, like you most recent one.20:47
sf-slack1<acomodi> mithro: all right, I need to understand though what are the main issues to be solved there in order to proceed with the improvements in v2x20:50
sf-slack1<acomodi> litghost: ok, first I would say that a full rebase with the current master mainline of VTR could be necessary before starting to isolate the patches20:51
litghostacomodi: Agreed20:53
litghostacomodi: I did a more recent merge, but there has been some large commits since then20:53
sf-slack1<acomodi> litghost: after that I'll look into the various major patches that need to be merged upstream and start to think on how to isolate them then20:53
litghostacomodi: Thanks20:54
litghostacomodi: FYI the metadata is already upstream, and genfasm has a PR https://github.com/verilog-to-routing/vtr-verilog-to-routing/pull/51020:54
tpbTitle: Initial implemention of genfasm by litghost · Pull Request #510 · verilog-to-routing/vtr-verilog-to-routing · GitHub (at github.com)20:54
litghostacomodi: So if you notice changes outside of the packer, do check if those changes are included in the FASM PR20:55
sf-slack1<acomodi> Yes, in fact I see that we are smth like 260 commits behind20:55
sf-slack1<acomodi> All right20:55
litghostacomodi: We were "only" 50 commits behind a week or two ago.  A large PR was merged having to do with dedicated clock networks.20:58
sf-slack1<acomodi> litghost: Ok, hopefully than there will be no conflicts21:00
litghostacomodi: I believe I solved most of the conflicts in the last merge, all bets are off.  All the more reason to make a dedicated effort to get completely upstreamed21:00
sf-slack1<acomodi> litghost: yes indeed, we better do that ASAP. I will deal with it first thing tomorrow21:02
mithroacomodi: The first thing with the v2x was to get a bunch of tests together so that we are sure the v2x is working as we expect21:55
mithroacomodi: The clock / comb generation is a bit fiddly and want to make sure it is happening correctly21:55
sf-slack1<acomodi> mitrho: Ok, if I remember right I had added the tests for that already in the #316 PR23:11
sf-slack1<acomodi> *mithro23:11
mithroacomodi: Yeah23:13
mithroacomodi: The testing came from trying to add support for v2x of the ice40 ioblocks and getting confused about what I was trying to do23:17
*** nonlinear has joined #symbiflow23:57

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!