Tuesday, 2019-01-15

*** tpb has joined #symbiflow00:00
*** citypw has joined #symbiflow03:42
*** proteusguy has joined #symbiflow06:12
*** kraiskil has joined #symbiflow08:58
nats`litghost, I ran the tiles part in 15 minutes and the nodes part in 55 minutes09:24
nats`without tinkering the ratio process blocksize we can do the 074 in 1h10min + few minutes for all file remerge09:25
nats`is it a progress ?09:25
*** citypw has quit IRC09:45
*** kraiskil has quit IRC09:57
*** kraiskil has joined #symbiflow10:10
*** kraiskil has quit IRC10:16
*** kraiskil has joined #symbiflow10:29
kgugala@nats` we can discuss 07x fuzzers here, but I have a meeting in about 2 minutes I'll bbl11:06
nats`no problem :)11:06
nats`ttyl11:06
nats`I'm at work too11:06
nats`I started a run with a full 074 new version script11:43
nats`let's see how long it take11:44
*** proteusguy has quit IRC12:20
*** kraiskil has quit IRC12:31
*** kraiskil has joined #symbiflow12:44
kgugalaat my side it finished12:52
kgugalaI'll push the fix12:52
*** kraiskil has quit IRC12:54
*** kraiskil has joined #symbiflow13:07
nats`kgugala, I saw your new bug on the 07413:29
nats`shoudl I do something in the new version or is it something solved by ignoring those net ?13:30
nats`I didn't fix the working part of the 07413:30
nats`the other point to check wouldbe to see if it's because of the 072 patch13:30
nats`Command being timed: "python3 run_fuzzer.py"13:31
nats`        User time (seconds): 14816.1213:31
nats`        System time (seconds): 755.3513:31
nats`        Percent of CPU this job got: 346%13:31
nats`        Elapsed (wall clock) time (h:mm:ss or m:ss): 1:14:5313:31
nats`        Average shared text size (kbytes): 013:31
nats`        Average unshared data size (kbytes): 013:31
nats`        Average stack size (kbytes): 013:31
nats`        Average total size (kbytes): 013:31
nats`        Maximum resident set size (kbytes): 120100013:31
nats`        Average resident set size (kbytes): 013:31
nats`        Major (requiring I/O) page faults: 013:31
nats`        Minor (reclaiming a frame) page faults: 3543252113:31
nats`        Voluntary context switches: 6635713:31
nats`        Involuntary context switches: 1047044713:31
nats`        Swaps: 013:31
nats`        File system inputs: 1116813:31
nats`        File system outputs: 2736640013:31
nats`        Socket messages sent: 013:31
nats`        Socket messages received: 013:31
nats`        Signals delivered: 013:31
nats`        Page size (bytes): 409613:31
nats`        Exit status: 0*13:31
nats`here is the runtime of the new version of the 07413:31
nats`1h1413:31
nats`I'm wondering how long it take ont he current version13:31
nats`uhhmm kgugala do yo uhave the csv from your zynq run ?13:37
nats`I would like to know if it's normal to have a lot of NULL inside it13:38
kgugalathe bug is for 05413:38
kgugalaTBH I think that 054 is redundant13:39
kgugalait runs the same tcl as 5613:39
nats`oh yes sorry13:40
nats`I red 07413:40
nats`my bad13:40
kgugalaIMO we can drop 54 and use 56 to calculate those13:40
nats`I didn't look at 05x serie13:40
kgugalaI'm testing this right now13:40
nats`can you look in the csvoutput of the 074 ?13:40
kgugalasure13:40
nats`I have a lot of tile NULL13:40
nats`but maybe that's ok13:41
nats`filetype,subtype,filename13:41
nats`tile,NULL,tile_NULL_X0Y156.json513:41
nats`tile,NULL,tile_NULL_X1Y156.json513:41
nats`tile,NULL,tile_NULL_X2Y156.json513:41
nats`tile,NULL,tile_NULL_X3Y156.json513:41
nats`tile,T_TERM_INT,tile_T_TERM_INT_X4Y156.json513:41
nats`tile,T_TERM_INT,tile_T_TERM_INT_X5Y156.json513:41
kgugalaI have them too13:41
nats`oky13:41
nats`do you have a place to upload  it ?13:41
kgugalaI may have13:42
nats`I'm interested in having it to compare with my next run of zynq 07413:42
nats`starting the zynq run of my new 07413:49
nats`will let you know the time taken :)13:49
nats`is there a good to make something like PR on github but only for testing before doing a real PR13:57
kgugalanats`, you can always mark a PR as WIP13:58
kgugalaand use it for discussion13:58
nats`ahhh sure !13:58
nats`thanks13:58
nats`I'll do that for the new version of 07413:59
nats`I'll need to have people testing it13:59
nats`kgugala,14:27
nats`Work done !14:27
nats`        Command being timed: "python3 run_fuzzer.py"14:27
nats`        User time (seconds): 6608.0114:27
nats`        System time (seconds): 442.7114:27
nats`        Percent of CPU this job got: 361%14:27
nats`        Elapsed (wall clock) time (h:mm:ss or m:ss): 32:30.4714:27
nats`        Average shared text size (kbytes): 014:27
nats`        Average unshared data size (kbytes): 014:27
nats`        Average stack size (kbytes): 014:27
nats`        Average total size (kbytes): 014:27
nats`        Maximum resident set size (kbytes): 102026414:27
nats`        Average resident set size (kbytes): 014:27
nats`        Major (requiring I/O) page faults: 014:27
nats`        Minor (reclaiming a frame) page faults: 3138636214:27
nats`        Voluntary context switches: 3712014:27
nats`        Involuntary context switches: 603650514:27
nats`        Swaps: 014:27
nats`        File system inputs: 7136014:28
nats`        File system outputs: 1646896014:28
nats`        Socket messages sent: 014:28
nats`        Socket messages received: 014:28
nats`        Signals delivered: 014:28
nats`        Page size (bytes): 409614:28
nats`        Exit status: 014:28
nats`I'm surprised it went really fast for the zyn14:28
nats`zynq14:28
nats`same csv file size14:30
nats`good start14:31
nats`by eye first and last lines are the same14:32
nats`kgugala, are you interested that I push and PR that so you could test it ?14:32
kgugalasure14:44
kgugalayou can tag me in the PR14:44
*** proteusguy has joined #symbiflow14:50
nats`kgugala, I'm running it from the directory with the makefile to be sure and them I push :)15:12
nats`kgugala, I have an other problem with directory in 074 I think15:41
nats`in generate_dump_after15:42
nats`all the python script certainly need to be prefixed by the $FUZDIR path15:43
nats`ah wait nop15:43
nats`the cd ../.. in generate.sh should make that ok15:44
*** _whitelogger has quit IRC15:45
*** citypw has joined #symbiflow15:47
kgugalait is fixed now in my PR15:47
kgugalahttps://github.com/SymbiFlow/prjxray/pull/51615:47
tpbTitle: fuzzers: 074: fix path to generate_after_dump by kgugala · Pull Request #516 · SymbiFlow/prjxray · GitHub (at github.com)15:47
kgugalathis one15:47
*** _whitelogger has joined #symbiflow15:48
nats`yep so that but it failed on my test because I didn't have it :)15:50
nats`fixed like your PR and rerun :)15:50
nats`s/so/saw/15:50
nats`just output of the tcl script I made to fix (bad directory) and I should be good :)16:29
nats`the final generation seems to work16:29
nats`2019-01-15 17:18:51.938046 Generating reduced tile for CLBLM_L16:29
nats`2019-01-15 17:18:51.938328 Using pool.imap_unordered16:29
nats`100% (600 of 600) |###################################################################################################################################################################################| Elapsed Time: 0:02:00 Time:  0:02:0016:29
nats`2019-01-15 17:21:10.662660 Generating reduced tile for CLBLM_R16:29
nats`I think I'll try to do the same progress bar for the multithread version of fuzzer16:29
nats`it's better than nothing or ton of line of text16:29
*** powerbit has quit IRC16:41
nats`the reducing stage is awfully long too !16:47
nats`litghost, I think digshadow is right it's not possible to put 072 and 074 before other fuzzers... the 074 reduce stage is a nightmare16:47
*** citypw has quit IRC17:12
*** kraiskil has quit IRC17:56
nats`2019-01-15 18:07:30.598128 Creating wire<->node index18:04
nats`100% (3824221077 of 3824221077) |#####################################################################################################################################################################| Elapsed Time: 0:00:59 Time:  0:00:5918:04
nats`100% (5153171150 of 5153171150) |#####################################################################################################################################################################| Elapsed Time: 0:01:00 Time:  0:01:0018:04
nats`2019-01-15 18:09:33.945337 Creating node tree18:04
nats` 35% (398115 of 1122477) |#############################################################                                                                                                               | Elapsed Time: 0:00:07 ETA:   0:00:15Traceback (most recent call last):18:04
nats`  File "create_node_tree.py", line 279, in <module>18:04
nats`    main()18:04
nats`  File "create_node_tree.py", line 271, in main18:04
nats`    if node in uphill_wire_node_index else [])))18:04
nats`  File "create_node_tree.py", line 103, in create_ordered_wires_for_node18:04
nats`    assert len(wires_in_node) >= len(all_wires)18:04
nats`AssertionError18:04
nats`100% (1122477 of 1122477) |###########################################################################################################################################################################| Elapsed Time: 0:00:10 Time:  0:00:1018:04
nats`something failed18:04
nats`...18:05
nats`I guess I may need some help to sort that18:39
nats`it seems to be unhappy of results of the 072 fuzzer and something else18:40
litghostnats: Do put up the PR18:45
litghostI'll take a look18:45
nats`oky19:25
nats`litghost kgugala https://github.com/SymbiFlow/prjxray/pull/52619:42
tpbTitle: WIP: reworking Fuzzer 074 for multi thread process - Dont MERGE ! by natsfr · Pull Request #526 · SymbiFlow/prjxray · GitHub (at github.com)19:42
nats`PR pushed19:42
nats`not for merging until we are sure :)19:42
nats`ohhhh shit19:42
nats`rubber ducky :D19:43
nats`I think it fails because the 072 was generated for artix 7 and the 074 for zynq19:43
nats`:D19:43
nats`let me try19:43
litghostya, that'll totally bork it19:46
litghostFYI WIP: in the title is enough to prevent a merge, so you don't need a giant "don't merge", that's what WIP means19:46
nats`ah oky sorry19:46
nats`I wante dot be sur e:)19:46
nats`duuh19:47
nats`I wanted to be sure19:47
nats`I rerun a full 072/07419:48
nats`but feel free to test :)19:48
nats`do you remember how long is the 074 run ?19:48
litghostI usually leave it overnight19:57
litghost:/19:57
litghostThere is nothing in the processing that truely requires that length, it is just severly unoptimized19:58
litghostLet's first fix the vivado leak per your PR, then maybe consider attacking the post-processing script.  I believe it should be possible to speed it up significantly with only small modifications19:58
nats`I didn't look into that20:28
nats`but I was wondering if the json processing is optimized20:28
nats`I have pretty bad souvenir of json lib20:29
nats`but that was really long time ago20:29
nats`anyway all the vivado processing for zynq take less than 50 minute for the 07420:29
nats`and I started one with time to see the full process20:29
litghost"but I was wondering if the json processing is optimized" -> Depends on what you mean.  The json parsing library is reasonable fast, but problem I believe is some inefficient data passing methods.  When I wrote the post-processing for 074, I proritized something working, so it isn't as clean as it might have been.  Some profiling and refactoring would probably go a long way.20:31
nats`I guess you'll be more efficient than me as you could see my python knowledge is long outdated now20:32
nats`so performance wise..... :D20:33
litghostreducing the runtime and memory usage of the vivado portion is of immediate benefit, so the PR is still very valuable20:33
nats`sure sure :)20:34
nats`and I'm happy to help on that20:34
nats`uhhmmmmm got an idea !20:58
nats`maybe I can make a bug report on that20:58
nats`we should store in the run.ok the target of the last run !20:58
nats`you would avoid stupid mistake like I made20:58
nats`https://github.com/SymbiFlow/prjxray/issues/52821:01
tpbTitle: Fuzzer storing last target · Issue #528 · SymbiFlow/prjxray · GitHub (at github.com)21:01
nats`uhhhh litghost the post processing is about to blow my RAM away :D21:15
nats`it seems it's really tight in 16GB21:15
litghostnats: Ya, that seems about right21:20
litghostI'm currently working on testing a couple INT pip CLs, and I'll move over to checking out your PR21:20
nats`I'll try to get 16GB more next month21:21
nats`it's too expensive for this one :D21:21
kgugalanats`: I also started a 072/074 build21:33
nats`oky21:34
nats`with the PR ?21:35
nats`and which target ?21:35
kgugalaartix21:35
kgugalashould I try zynq instead?21:35
nats`oky21:35
nats`nop21:35
nats`should work on all21:35
nats`the artix is longer21:35
nats`zynq < artix < kintex21:35
kgugalaok21:36
nats`count about 30minute 072 for artix21:36
nats`and I would say 1h15 074 BEFORE post processing21:36
nats`I couldn't run the post proc21:36
nats`I'm trying it for zynq right now21:36
nats`I think we really have to stabilize all of that and generate as few as possible21:38
nats`uhhmm how hard would it be to distribute the build over network21:38
nats`there are python lib for that ?21:38
nats`duhhh we really need to optimise the post processing21:50
nats`it's awfully slow :D21:50
litghostIn general 072-074 weren't optimized because they are very deterministic, and don't need to be re-run often.22:12
litghostThis is unlike the other fuzzers which are fairly stochastic22:12
nats`Traceback (most recent call last):22:15
nats`  File "generate_grid.py", line 676, in <module>22:15
nats`    main()22:15
nats`  File "generate_grid.py", line 578, in main22:15
nats`    with open(os.path.join(prjxray.util.get_db_root(), 'tilegrid.json')) as f:22:15
nats`FileNotFoundError: [Errno 2] No such file or directory: '/home/nats/project/symbiflow/prjxray/database/zynq7/tilegrid.json'22:15
nats`Makefile:16: recipe for target 'build/specimen_001/OK' failed22:15
nats`make[1]: *** [build/specimen_001/OK] Error 122:15
nats`make[1]: Leaving directory '/home/nats/project/symbiflow/prjxray/fuzzers/074-dump_all'22:15
nats`Makefile:20: recipe for target 'run' failed22:15
nats`make: *** [run] Error 222:15
nats`Command exited with non-zero status 222:15
nats`        Command being timed: "make run"22:15
nats`        User time (seconds): 17837.8822:15
nats`        System time (seconds): 1258.8922:15
nats`        Percent of CPU this job got: 305%22:15
nats`        Elapsed (wall clock) time (h:mm:ss or m:ss): 1:44:0922:15
nats`        Average shared text size (kbytes): 022:15
nats`        Average unshared data size (kbytes): 022:16
nats`        Average stack size (kbytes): 022:16
nats`        Average total size (kbytes): 022:16
nats`        Maximum resident set size (kbytes): 411014822:16
nats`        Average resident set size (kbytes): 022:16
nats`        Major (requiring I/O) page faults: 4422:16
nats`        Minor (reclaiming a frame) page faults: 65916844022:16
nats`        Voluntary context switches: 594075622:16
nats`        Involuntary context switches: 1098180522:16
nats`        Swaps: 022:16
nats`        File system inputs: 1377399222:16
nats`        File system outputs: 1820311222:16
nats`        Socket messages sent: 022:16
nats`        Socket messages received: 022:16
nats`        Signals delivered: 022:16
nats`        Page size (bytes): 409622:16
nats`        Exit status: 222:16
nats`ar sorry bad copy paste22:16
nats`it failed at 1h44 -_-22:16
nats`I think we really should do a sanity check before running22:16
nats`ahhh I didn't run previous fuzzer22:17
nats`:|22:17
nats`https://github.com/SymbiFlow/prjxray/issues/52922:19
tpbTitle: Fuzzer pre-run sanity check · Issue #529 · SymbiFlow/prjxray · GitHub (at github.com)22:19
nats`oky I started a full run of all fuzzer from the main directory22:22
nats`it'll run all night hopefully22:22
nats`good night !22:22
nats`uhhmm it stopped right before going to be22:23
nats`I'll check that tmorrow22:24

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!