*** tpb has joined #litex | 00:00 | |
*** cr1901_modern has joined #litex | 00:17 | |
*** lf has quit IRC | 00:37 | |
*** lf_ has joined #litex | 00:37 | |
* sajattack[m] < https://matrix.org/_matrix/media/r0/download/matrix.org/uoqEBMKVCJkimkcxdJDcGHbO/message.txt > | 00:42 | |
sajattack[m] | nevermind, got it | 00:45 |
---|---|---|
sajattack[m] | lol I typed lspci after flashing and my computer rebooted itself | 00:51 |
sajattack[m] | attempting to load the kernel module also results in a kernel panic/reboot | 01:05 |
sajattack[m] | unfortunately there's a gap in the journalctl log between the command to load the driver and the next boot | 01:14 |
sajattack[m] | kernel 5.11.8 | 01:17 |
*** shorne has quit IRC | 02:24 | |
*** shorne has joined #litex | 02:30 | |
*** Degi_ has joined #litex | 02:31 | |
*** Degi has quit IRC | 02:33 | |
*** Degi_ is now known as Degi | 02:33 | |
sajattack[m] | ok, got the `/dev/litepcie0` showing up now, but `litepcie_util info` locks up my computer for about 30 seconds, doesn't reboot | 02:40 |
*** lkcl has quit IRC | 02:42 | |
*** lkcl has joined #litex | 02:54 | |
*** [Matt] has quit IRC | 04:39 | |
*** [Matt] has joined #litex | 04:40 | |
*** rj has quit IRC | 05:10 | |
*** rj has joined #litex | 05:10 | |
*** Bertl_oO is now known as Bertl_zZ | 05:18 | |
tcal | I'm trying to do a basic build of litex_boards/targets/crosslink_nx_evn.py with the default tools; it builds ok, but doesn't want to load or flash. The Lattice programmer gives an error; ecpprog says things are fine, but the LED chaser doesn't start. Could my problem be that I have an ES (eng sample) part? Or that I'm using Radiant 2.2? I'm happy to move on to using PrjOxide if that will solve my problems...I was | 06:28 |
tcal | trying to do things one step at a time. | 06:28 |
*** rozpruwacz has joined #litex | 06:52 | |
tcal | Hmm, I'm having better luck using Radiant 2.0.1. LED chaser is working. I haven't gotten the Litex> prompt yet, but I suspect my `--serial=serial_pmod0` is getting dropped since the pin assignments for tx/rx aren't correct. | 07:03 |
*** rozpruwacz has quit IRC | 07:30 | |
*** rj has quit IRC | 07:32 | |
*** rj has joined #litex | 07:33 | |
tcal | Yeah, `--serial` is ignored. This is what finally worked for me (using Radiant 2.0.1): `python3 ./crosslink_nx_evn.py --cpu-type=vexriscv --cpu-variant=full --uart-baudrate=115200 --uart-name=serial_pmod0 --build --load` | 07:35 |
*** RaivisR has quit IRC | 07:41 | |
*** rozpruwacz has joined #litex | 07:46 | |
*** pftbest has quit IRC | 07:47 | |
*** pftbest has joined #litex | 07:48 | |
*** lkcl has quit IRC | 08:48 | |
gatecat | tcal: yeah you will need to stick with Radiant 2.0.1 if you have an ES device | 08:53 |
gatecat | 2.1 and later will use the production IDCODE | 08:53 |
*** rozpruwacz has quit IRC | 09:21 | |
*** lkcl has joined #litex | 10:48 | |
leons | I currently have access to a NetFPGA 1G-CML card with a XC7K325T Kintex FGPA (same as used on Genesys2) | 11:29 |
leons | I have never ported LiteX to another board (only every used it on my Arty A7) | 11:29 |
leons | Is there a rough estimate of how much effort it would be to get it to a basic working state with LiteX? :) | 11:29 |
leons | (Given that at least the FPGA itself is currently supported in other boards) | 11:30 |
*** rozpruwacz has joined #litex | 11:42 | |
*** RaivisR has joined #litex | 11:45 | |
acathla | _florent_, I don't understand your patch about up5kspram : https://github.com/enjoy-digital/litex/commit/ad63f8edc852084618ef0c47dfd1e4f9f6e06c19#diff-fda8dafc1cc4c8256b72d8d4f7d8f1199fdb18857680ca958298894c5f75e098 | 12:06 |
acathla | What should I change to so I don't have that warning? I copied the import from the fomu target but still have the warning | 12:09 |
*** cr1901_modern1 has joined #litex | 12:09 | |
*** cr1901_modern has quit IRC | 12:12 | |
*** cr1901_modern1 has quit IRC | 12:28 | |
gatecat | > Is there a rough estimate of how much effort it would be to get it to a basic working state with LiteX? :) | 12:53 |
gatecat | ime it's usually a couple of days to get a board fully working and debugged | 12:53 |
gatecat | leons: ^ | 12:53 |
*** Bertl_zZ is now known as Bertl | 13:04 | |
*** FFY00_ has quit IRC | 13:05 | |
*** FFY00_ has joined #litex | 13:06 | |
leons | gatecat: That sounds good. I'm somewhat optimistic because there's at least schematics and everything, but I don't quite know what to expect :) | 13:06 |
acathla | _florent_, forget about it, I had an import still importing the wrong file | 13:18 |
_florent_ | acathla: I'm indeed trying to mode all the Retro-compatibility code (mess? :)) to a single location: litex.compat. up5kspram was already an empty file with just a redirection. | 14:02 |
*** Bertl is now known as Bertl_oO | 14:31 | |
*** rj has quit IRC | 15:10 | |
tcal | @gatecat thanks, I'm installing PrjOxide right now, really excited about seeing it work on NX! | 15:17 |
gatecat | adding `--toolchain oxide --nexus-es-device` to the LiteX command line should be enough to enable it | 15:17 |
sajattack[m] | anyone have any ideas why the litex kernel driver is hanging or crashing my pc? | 15:26 |
*** rozpruwacz has quit IRC | 15:33 | |
*** rozpruwacz has joined #litex | 15:33 | |
_florent_ | sajattack[m]: I'm going to rebuild the Acorn PCIe to verify | 15:57 |
sajattack[m] | thanks _florent_ | 15:57 |
sajattack[m] | btw I'm using pcie 4 I think | 15:57 |
sajattack[m] | but it should be backwards compat? | 15:58 |
sajattack[m] | https://www.asus.com/Motherboards-Components/Motherboards/All-series/PRIME-B550M-A-CSM/ | 15:59 |
tpb | Title: PRIME B550M-A/CSM|Motherboards|ASUS Global (at www.asus.com) | 15:59 |
sajattack[m] | the top m.2 slot | 15:59 |
_florent_ | yes, number of lanes and speed is negiociated at startup | 15:59 |
sajattack[m] | built from commit ef66203 | 16:02 |
sajattack[m] | (litex-boards) | 16:03 |
_florent_ | OK, so just loading the kernel module is crashing your PC? | 16:06 |
tcal | @gatecat Hmm, just updated & rebuilt yosys/nextpnr-nexus, getting this: `ERROR: Unsupported PDC command 'get_pins'`, `File "/home/tim/enjoy-digital/litex/litex/build/lattice/oxide.py", line 101, in _run_script raise OSError("Error occured during Yosys/Nextpnr's script execution.")`. The offending line seems to be `create_clock -period 13.333333333333334 -name PLL_0_P [get_pins PLL_0.PLL_inst/CLKOP]` | 16:16 |
gatecat | ah right, I will work on a fix for that. for now most of my testing has been with the VIP not the EVN | 16:16 |
gatecat | have created https://github.com/YosysHQ/nextpnr/issues/647 so I don't forget | 16:19 |
tcal | @gatecat awesome 😀 | 16:20 |
*** rj has joined #litex | 16:25 | |
*** rozpruwacz has quit IRC | 16:31 | |
*** dkozel_ is now known as dkozel | 16:35 | |
gatecat | tcal: https://github.com/YosysHQ/nextpnr/pull/648 | 16:41 |
gatecat | seems to build with that patch | 16:41 |
*** peeps[zen] has joined #litex | 16:47 | |
*** peepsalot has quit IRC | 16:49 | |
*** rj has quit IRC | 16:58 | |
*** rj has joined #litex | 17:00 | |
*** Melkhior has joined #litex | 17:05 | |
Melkhior | For the more alphabet-inclined among you, following Dolu1990's quick correction of a minor bug affecting C+FD, VexRiscv can now be used as a RV32IMAFDCBK core in LiteX and presumably other SoCs:-) That's a lot of letter for a a small core (can be abreviated to RV32GCBK but where is the fun in that ?) | 17:10 |
*** Melkhior has quit IRC | 17:17 | |
*** keesj has quit IRC | 17:18 | |
*** proteusguy has quit IRC | 17:18 | |
*** somlo has quit IRC | 17:18 | |
*** mntmn has quit IRC | 17:18 | |
*** vup has quit IRC | 17:18 | |
*** acathla has quit IRC | 17:18 | |
*** joseng has quit IRC | 17:18 | |
*** RaYmAn has quit IRC | 17:18 | |
*** bastelmann has quit IRC | 17:18 | |
*** kbeckmann has quit IRC | 17:18 | |
*** apolkosnik[m] has quit IRC | 17:18 | |
*** disasm[m] has quit IRC | 17:18 | |
*** shivampotdar has quit IRC | 17:18 | |
*** tumbleweed has quit IRC | 17:18 | |
*** feldim2425 has quit IRC | 17:18 | |
*** awordnot has quit IRC | 17:18 | |
*** tpb has joined #litex | 17:23 | |
*** promach3 has quit IRC | 17:23 | |
*** somlo has joined #litex | 17:35 | |
*** Melkhior has joined #litex | 17:38 | |
tcal | @gatecat -- confirmed it works! -- on the board, have litex> prompt. Used `./crosslink_nx_evn.py --cpu-type=vexriscv --cpu-variant=full --uart-name=serial_pmod0 --uart-baudrate=115200 --nexus-es-device --toolchain=oxide --build` and ecpprog (nx_support branch). | 17:38 |
*** rj has quit IRC | 17:42 | |
*** awordnot has quit IRC | 17:42 | |
*** feldim2425 has quit IRC | 17:42 | |
*** FFY00_ has quit IRC | 17:42 | |
*** nats` has quit IRC | 17:42 | |
*** guan has quit IRC | 17:42 | |
*** the_jinx has quit IRC | 17:42 | |
*** sorear has quit IRC | 17:42 | |
*** ranzbak has quit IRC | 17:42 | |
*** nickoe has quit IRC | 17:42 | |
*** TMM__ has quit IRC | 17:42 | |
*** proteusguy has quit IRC | 17:42 | |
*** indy has quit IRC | 17:42 | |
*** kbeckmann has quit IRC | 17:42 | |
*** smiteff has quit IRC | 17:42 | |
*** SpaceCoaster has quit IRC | 17:42 | |
*** goran-mahovlic has quit IRC | 17:42 | |
*** zyp has quit IRC | 17:42 | |
*** _franck_ has quit IRC | 17:42 | |
*** j4cbo has quit IRC | 17:42 | |
*** xfxf has quit IRC | 17:42 | |
*** felix_ has quit IRC | 17:42 | |
*** ric96 has quit IRC | 17:42 | |
*** bubble_buster has quit IRC | 17:42 | |
*** Finde has quit IRC | 17:42 | |
*** tmbinc has quit IRC | 17:42 | |
*** lkcl has quit IRC | 17:42 | |
*** captain_morgan has quit IRC | 17:42 | |
*** dkozel has quit IRC | 17:42 | |
*** trabucayre has quit IRC | 17:42 | |
*** acathla has quit IRC | 17:42 | |
*** bastelmann has quit IRC | 17:42 | |
*** anuejn has quit IRC | 17:42 | |
*** geertu has quit IRC | 17:42 | |
*** carlomaragno has quit IRC | 17:42 | |
*** zyp_ has joined #litex | 17:42 | |
*** trabucay1e has joined #litex | 17:42 | |
*** geertu_ has joined #litex | 17:42 | |
*** ants` has joined #litex | 17:42 | |
*** anuejn has joined #litex | 17:42 | |
*** SpaceCoaster_ has joined #litex | 17:42 | |
*** dkozel has joined #litex | 17:42 | |
*** goran-mahovlic has joined #litex | 17:42 | |
*** xfxf has joined #litex | 17:42 | |
*** tmbinc has joined #litex | 17:42 | |
*** ants` is now known as nats` | 17:42 | |
*** kbeckmann has joined #litex | 17:42 | |
*** bastelmann has joined #litex | 17:42 | |
*** feldim2425_ has joined #litex | 17:42 | |
*** felix_ has joined #litex | 17:42 | |
*** simeonm has joined #litex | 17:42 | |
*** ranzbak has joined #litex | 17:42 | |
*** guan has joined #litex | 17:42 | |
*** carlomaragno has joined #litex | 17:42 | |
*** bubble_buster has joined #litex | 17:42 | |
*** j4cbo has joined #litex | 17:43 | |
*** Finde has joined #litex | 17:43 | |
*** sorear has joined #litex | 17:43 | |
*** lkcl has joined #litex | 17:43 | |
*** the_jinx has joined #litex | 17:43 | |
*** ric96 has joined #litex | 17:43 | |
*** feldim2425_ is now known as feldim2425 | 17:43 | |
*** awordnot has joined #litex | 17:43 | |
*** j4cbo has quit IRC | 17:43 | |
*** j4cbo has joined #litex | 17:43 | |
*** j4cbo has joined #litex | 17:43 | |
*** nickoe has joined #litex | 17:43 | |
*** TMM has joined #litex | 17:44 | |
*** FFY00_ has joined #litex | 17:44 | |
*** acathla has joined #litex | 17:46 | |
*** trabucay1e is now known as trabucayre | 17:46 | |
*** rj has joined #litex | 17:46 | |
_florent_ | sajattack[m]: here is a bitstream I just tested on the Acorn: https://github.com/litex-hub/litex-boards/files/6206784/sqrl_acorn_cle_215_pcie_2021_03_25.zip | 17:46 |
*** indy has joined #litex | 17:47 | |
*** pftbest has quit IRC | 17:52 | |
*** jryans has joined #litex | 17:53 | |
*** _whitelogger has quit IRC | 17:57 | |
*** x56_ has quit IRC | 17:57 | |
*** palmer has quit IRC | 17:57 | |
*** lambda has quit IRC | 17:57 | |
*** Claude has quit IRC | 17:57 | |
*** key2 has quit IRC | 17:57 | |
*** flammit has quit IRC | 17:57 | |
*** rohitksingh has quit IRC | 17:57 | |
*** awygle has quit IRC | 17:57 | |
*** flammit has joined #litex | 17:57 | |
*** palmer has joined #litex | 17:57 | |
*** Claude has joined #litex | 17:58 | |
*** key2 has joined #litex | 17:58 | |
*** rohitksingh has joined #litex | 17:58 | |
*** Emantor has quit IRC | 17:58 | |
*** shoragan has quit IRC | 17:58 | |
*** gruetzkopf has quit IRC | 17:58 | |
*** esden has quit IRC | 17:58 | |
*** gatecat has quit IRC | 17:58 | |
*** davidlattimore has quit IRC | 17:58 | |
*** mithro has quit IRC | 17:58 | |
*** levi has quit IRC | 17:58 | |
*** Stary has quit IRC | 17:58 | |
*** midnight has quit IRC | 17:58 | |
*** alanvgreen has quit IRC | 17:58 | |
*** levi has joined #litex | 17:58 | |
*** shoragan has joined #litex | 17:58 | |
*** gruetzkopf has joined #litex | 17:58 | |
*** alanvgreen has joined #litex | 17:58 | |
*** davidlattimore has joined #litex | 17:58 | |
*** awygle has joined #litex | 17:58 | |
*** lambda has joined #litex | 17:58 | |
*** x56_ has joined #litex | 17:58 | |
*** gatecat has joined #litex | 17:58 | |
*** esden has joined #litex | 17:58 | |
*** mithro has joined #litex | 17:59 | |
*** midnight has joined #litex | 17:59 | |
*** mntmn has quit IRC | 17:59 | |
*** rektide has quit IRC | 17:59 | |
*** Bertl_oO has quit IRC | 17:59 | |
*** miek has quit IRC | 17:59 | |
*** Stary has joined #litex | 17:59 | |
mithro | BTW -- Have people seen alexforencich's work like https://github.com/alexforencich/cocotbext-pcie (and https://github.com/alexforencich?tab=repositories)? | 17:59 |
*** Bertl_oO has joined #litex | 17:59 | |
*** Claude has quit IRC | 18:00 | |
*** rektide has joined #litex | 18:00 | |
*** mntmn has joined #litex | 18:00 | |
*** _whitelogger has joined #litex | 18:00 | |
*** miek has joined #litex | 18:00 | |
*** Emantor has joined #litex | 18:01 | |
*** captain_morgan has joined #litex | 18:01 | |
*** pftbest has joined #litex | 18:01 | |
*** gruetzkopf has quit IRC | 18:03 | |
*** levi has quit IRC | 18:03 | |
*** FFY00_ has quit IRC | 18:03 | |
*** tumbleweed has quit IRC | 18:03 | |
*** shenki has quit IRC | 18:03 | |
*** y2kbugger has quit IRC | 18:03 | |
*** esden has quit IRC | 18:03 | |
*** davidlattimore has quit IRC | 18:03 | |
*** shoragan has quit IRC | 18:03 | |
*** tannewt has quit IRC | 18:03 | |
*** pepijndevos_ has quit IRC | 18:03 | |
*** tumbleweed has joined #litex | 18:04 | |
*** shenki has joined #litex | 18:04 | |
*** gruetzkopf has joined #litex | 18:04 | |
*** levi has joined #litex | 18:04 | |
*** FFY00_ has joined #litex | 18:04 | |
*** y2kbugger has joined #litex | 18:04 | |
*** esden has joined #litex | 18:04 | |
*** davidlattimore has joined #litex | 18:04 | |
*** shoragan has joined #litex | 18:04 | |
*** tannewt has joined #litex | 18:04 | |
*** pepijndevos_ has joined #litex | 18:04 | |
*** davidlattimore has quit IRC | 18:05 | |
*** esden has quit IRC | 18:05 | |
*** shoragan has quit IRC | 18:05 | |
*** tannewt has quit IRC | 18:05 | |
*** pepijndevos_ has quit IRC | 18:05 | |
*** shoragan has joined #litex | 18:05 | |
*** pepijndevos has joined #litex | 18:05 | |
*** davidlattimore has joined #litex | 18:05 | |
*** tannewt has joined #litex | 18:05 | |
*** m4ssi has joined #litex | 18:05 | |
*** shoragan has quit IRC | 18:06 | |
*** midnight has quit IRC | 18:06 | |
*** acathla has quit IRC | 18:06 | |
*** ric96 has quit IRC | 18:06 | |
*** carlomaragno has quit IRC | 18:06 | |
*** tmbinc has quit IRC | 18:06 | |
*** the_jinx has quit IRC | 18:06 | |
*** xfxf has quit IRC | 18:06 | |
*** xfxf has joined #litex | 18:06 | |
*** carlomaragno has joined #litex | 18:06 | |
*** shoragan has joined #litex | 18:07 | |
*** the_jinx has joined #litex | 18:07 | |
*** ric96 has joined #litex | 18:07 | |
*** tmbinc has joined #litex | 18:07 | |
*** acathla has joined #litex | 18:07 | |
*** esden has joined #litex | 18:07 | |
*** midnight has joined #litex | 18:09 | |
*** rj has quit IRC | 18:10 | |
_florent_ | mithro: alexforencich's work is very interesting yes, the cocotbext-pcie project could be interesting to replace the simple model I created for LitePCIe: | 18:13 |
_florent_ | https://github.com/enjoy-digital/litepcie/tree/master/test/model | 18:13 |
*** rj has joined #litex | 18:14 | |
*** tpb has joined #litex | 18:19 | |
*** Melkhior has quit IRC | 18:20 | |
*** RaYmAn has joined #litex | 18:21 | |
*** cr1901_modern has joined #litex | 18:22 | |
*** felix_ has quit IRC | 18:23 | |
*** mikeK_de1soc has joined #litex | 18:23 | |
*** felix_ has joined #litex | 18:24 | |
*** cr1901_modern1 has joined #litex | 18:25 | |
mikeK_de1soc | I just noticed that I am getting a update error: SoCSDRAM is deprecated since 2020-03-24 and will soon no longer work, please update. Switch to SoCCore/add_sdram/soc_core_args instead...........thanks :) | 18:26 |
mikeK_de1soc | Is there a procedure for this? thanks! | 18:26 |
*** cr1901_modern has quit IRC | 18:27 | |
_florent_ | mikeK_de1soc: yes you can probably just the following import: from litex.soc.integration.soc_sdram import * | 18:28 |
mikeK_de1soc | Ah ok... :) | 18:28 |
mikeK_de1soc | thatnks. | 18:28 |
_florent_ | and then replace soc_sdram_args/soc_sdram_argdict with soc_core_args/soc_core_argdict | 18:29 |
_florent_ | as done here: | 18:29 |
_florent_ | https://github.com/litex-hub/litex-boards/commit/5995769b46f9f37ad916b74cbaad12d11a71f9af | 18:29 |
_florent_ | Note that this is not an error since the design will still build, but the compatibility will be removed at some point so I would recommend to update | 18:30 |
mikeK_de1soc | yup... | 18:30 |
mikeK_de1soc | that's why I am asking... Thanks!!! for the help!! (You are always so helpful Florent!! :) ) | 18:31 |
mikeK_de1soc | but I am having another issue building the arrow video terminal.. But this could be at my end... | 18:31 |
mikeK_de1soc | INFO:SoCCSRHandler:leds CSR allocated at Location 6. | 18:32 |
mikeK_de1soc | Traceback (most recent call last): | 18:32 |
mikeK_de1soc | File "./arrow_sockit.py", line 202, in <module> | 18:32 |
mikeK_de1soc | main() | 18:32 |
mikeK_de1soc | File "./arrow_sockit.py", line 186, in main | 18:32 |
mikeK_de1soc | soc = BaseSoC( | 18:32 |
mikeK_de1soc | File "./arrow_sockit.py", line 166, in __init__ | 18:32 |
mikeK_de1soc | self.specials += DDROutput(i1=1, i2=0, o=vga_pads.clk, clk=ClockSignal("vga")) | 18:32 |
mikeK_de1soc | AttributeError: 'Record' object has no attribute 'clk' | 18:32 |
mikeK_de1soc | will dig into it... | 18:32 |
*** cr1901_modern2 has joined #litex | 18:32 | |
*** cr1901_modern1 has quit IRC | 18:34 | |
*** cr1901_modern2 has quit IRC | 18:37 | |
_florent_ | mikeK_de1soc: I just fixed compilation from others changes I did today: | 18:37 |
_florent_ | https://github.com/litex-hub/litex-boards/commit/b284fe47c375bff11ea89e57a5a48b81588a93da | 18:37 |
*** cr1901_modern has joined #litex | 18:37 | |
_florent_ | ./terasic_sockit.py --with-video-terminal --build is compiling here | 18:37 |
mikeK_de1soc | SWEET!!! | 18:37 |
mikeK_de1soc | Ok i guess I am at the bleeding edge.... | 18:38 |
mikeK_de1soc | does it matter if you do the --build first or last?? | 18:38 |
*** rj has quit IRC | 18:38 | |
*** cr1901_modern1 has joined #litex | 18:39 | |
_florent_ | no, that's similar | 18:39 |
*** zyp_ is now known as zyp | 18:41 | |
*** cr1901_modern has quit IRC | 18:41 | |
mikeK_de1soc | Oh WOW huge changes... | 18:41 |
*** rj has joined #litex | 18:43 | |
*** cr1901_modern has joined #litex | 18:44 | |
*** cr1901_modern1 has quit IRC | 18:46 | |
*** promach3 has joined #litex | 18:48 | |
*** apolkosnik[m] has joined #litex | 18:48 | |
*** jryans has joined #litex | 18:50 | |
*** Guest44411 has joined #litex | 18:52 | |
*** JJJollyjim1 has joined #litex | 18:52 | |
mikeK_de1soc | Sorry Florent: another questions, The last link you gave me, is that for all branches? or just the master branch? | 18:54 |
mikeK_de1soc | gone... | 18:54 |
*** shivampotdar has joined #litex | 18:56 | |
*** cr1901_modern1 has joined #litex | 18:58 | |
*** xobs has joined #litex | 18:59 | |
*** cr1901_modern has quit IRC | 18:59 | |
*** CarlFK[m] has joined #litex | 18:59 | |
*** cr1901_modern1 has quit IRC | 19:02 | |
*** pftbest has quit IRC | 19:03 | |
*** pftbest has joined #litex | 19:03 | |
*** disasm[m] has joined #litex | 19:04 | |
*** sajattack[m] has joined #litex | 19:06 | |
sajattack[m] | <_florent_ "OK, so just loading the kernel m"> loading the kernel module crashed a few times, then I got the /dev/litepcie0 showing up, and litepcie_util info made it hang for 30 sec-ish but not reboot | 19:10 |
*** pftbest_ has joined #litex | 19:13 | |
*** shoragan[m] has joined #litex | 19:15 | |
*** pftbest has quit IRC | 19:16 | |
*** leons has joined #litex | 19:17 | |
*** rj has quit IRC | 19:21 | |
*** rj has joined #litex | 19:23 | |
*** mikeK_de1soc has quit IRC | 19:26 | |
*** mikeK_de1soc has joined #litex | 19:35 | |
*** m4ssi has quit IRC | 19:35 | |
leons | Regarding building bitstreams for Kintex-7, that unfortunately doesn't work with the WebPACK edition. Given I'm not using it for commercial use (literally evaluating w.r.t to Vivado's terms and conditions), can I use the Vivado Design Suite 30day evaluation license for that? | 19:37 |
leons | Sorry for asking - this isn't a Vivado license forum, but I really don't understand their approaches to licensing :) | 19:39 |
*** Claude has joined #litex | 19:40 | |
*** sorear has quit IRC | 19:49 | |
*** sorear has joined #litex | 19:49 | |
*** _franck_ has joined #litex | 19:59 | |
*** mikeK_de1soc has quit IRC | 19:59 | |
*** rj has quit IRC | 20:07 | |
*** rj has joined #litex | 20:12 | |
*** cr1901_modern has joined #litex | 20:29 | |
*** cr1901_modern1 has joined #litex | 20:35 | |
*** cr1901_modern has quit IRC | 20:36 | |
keesj | anybody played with the quickfeather? | 20:43 |
keesj | I have the hardware , listend to the AMP hour episode on the subject. know about the next *umo device that is supposed to use the EOS S3 but do not quite understand how to .. get started / why I need the qorc sdk | 20:45 |
*** cr1901_modern has joined #litex | 20:47 | |
*** cr1901_modern1 has quit IRC | 20:48 | |
*** rj has quit IRC | 20:51 | |
gatecat | 7:37 PM <leons> Regarding building bitstreams for Kintex-7, that unfortunately doesn't work with the WebPACK edition. Given I'm not using it for commercial use (literally evaluating w.r.t to Vivado's terms and conditions), can I use the Vivado Design Suite 30day evaluation license for that? | 20:54 |
*** rj has joined #litex | 20:54 | |
gatecat | yeah the evaluation license should be fine to get things going | 20:54 |
gatecat | does mean you have a bit of time pressure though :p | 20:55 |
*** cr1901_modern1 has joined #litex | 20:56 | |
leons | gatecat: yes, that's pretty unfortunate. I would've hoped that one might be able to get 2 evaluation license periods, but efforts in those direction are probably futile | 20:57 |
leons | Well, the 30 days should be sufficient, then I can go search for FPGAs of other vendors with more student-friendly licensing schemes | 20:57 |
*** cr1901_modern has quit IRC | 20:58 | |
*** cr1901_modern1 has quit IRC | 21:01 | |
*** pepijndevos has quit IRC | 21:29 | |
*** rj has quit IRC | 21:34 | |
*** pepijndevos has joined #litex | 21:36 | |
*** rj has joined #litex | 21:38 | |
*** rozpruwacz has joined #litex | 21:42 | |
*** rj has quit IRC | 22:17 | |
*** rj has joined #litex | 22:20 | |
*** pftbest_ has quit IRC | 22:20 | |
somlo | gatecat: nextpnr-xilinx can't happen soon enough! ;) | 22:29 |
*** rozpruwacz has quit IRC | 22:43 | |
*** rj has quit IRC | 23:02 | |
*** rj has joined #litex | 23:06 | |
*** peeps[zen] is now known as peepsalot | 23:26 | |
*** daddesio has quit IRC | 23:41 | |
*** cr1901_modern has joined #litex | 23:43 | |
*** rj has quit IRC | 23:46 | |
*** cr1901_modern has quit IRC | 23:48 | |
*** rj has joined #litex | 23:50 |
Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!