Tuesday, 2020-08-25

*** tpb has joined #tomu00:00
*** Guest88031 has quit IRC00:21
*** craigo has quit IRC00:22
*** CarlFK has quit IRC00:27
*** craigo has joined #tomu01:30
*** awe00 has quit IRC02:33
*** craigo has quit IRC02:41
*** CarlFK has joined #tomu03:14
*** CarlFK has quit IRC07:03
*** ssb has quit IRC07:36
MadHackerhinzundcode: Run VGA a little slower than spec at 24MHz, divide by 2 to produce a 12MHz for the USB core?07:41
xobshinzundcode: cool! nice job :)07:54
xobsYou could try flopping a 48 MHz signal to produce the 12 MHz domain for USB. It's not great, but it could work.07:54
MadHackerSadly I think he's gone, I posted before I realised.07:54
MadHackerStill cool, though. :)07:55
*** ssb has joined #tomu07:58
*** hinzundcode has joined #tomu08:07
xobshinzundcode: cool! nice job :)08:08
xobsYou could try flopping a 48 MHz signal to produce the 12 MHz domain for USB. It's not great, but it could work.08:08
MadHackerhinzundcode: Run VGA a little slower than spec at 24MHz, divide by 2 to produce a 12MHz for the USB core?08:08
MadHacker(as another option)08:08
MadHackerVGA's tolerance should be plenty to allow that.08:08
hinzundcodeMadHacker: I tried running it at 24MHz and 12MHz, but i always had visual glitches08:08
MadHackerThat's surprising. I know on a CRT that works rock-solid, but I'd have expected it to be OK on an LCD as long as your front/back porch times are reasonable.08:09
xobsAn earlier version of foboot didn't use a PLL, and instead flopped the outputs for the 12 MHz domain. It's the whole reason Fomu uses a 48 MHz crystal. You tend to get synchronization issues when the FPGA becomes 99% loaded, though.08:09
xobsPerhaps it'll work better with the more efficient CDC that Valentyusb has now, too.08:10
hinzundcodexobs: thank you :)  I changed the clock resource generator used in litex and replaced the pll with a simple clock divider. and usb still works :D08:10
hinzundcodemy goal is to write to the framebuffer using wishbone-tool08:11
hinzundcodemakes it a really simple usb graphics card ^^08:11
xobsCool!08:11
MadHacker:)08:11
*** ssb has quit IRC08:20
*** ssb has joined #tomu08:37
*** awe00 has joined #tomu08:44
*** ssb has quit IRC09:00
*** nurelin has quit IRC09:00
*** ssb has joined #tomu09:00
*** hinzundcode has quit IRC09:05
*** st-gourichon-fid has quit IRC09:51
*** johnhmay has quit IRC10:14
*** thepatr1ck has quit IRC10:14
*** lathiat has quit IRC10:15
*** englishm has quit IRC10:15
*** thepatr1ck has joined #tomu10:15
*** johnhmay has joined #tomu10:15
*** lathiat has joined #tomu10:15
*** englishm has joined #tomu10:16
*** CarlFK has joined #tomu10:17
*** awe00_ has joined #tomu10:19
*** awe00 has quit IRC10:21
*** tannewt has quit IRC10:48
*** y2kbugger has quit IRC10:48
*** ovf has quit IRC10:49
*** eddyb[legacy] has quit IRC10:51
*** elms has quit IRC10:51
*** esden has quit IRC10:51
*** eddyb[legacy] has joined #tomu10:59
*** esden has joined #tomu11:00
*** y2kbugger has joined #tomu11:00
*** elms has joined #tomu11:01
*** tannewt has joined #tomu11:01
*** ovf has joined #tomu11:02
*** st-gourichon-fid has joined #tomu11:40
*** acathla has quit IRC12:33
*** hinzundcode has joined #tomu12:43
*** acathla has joined #tomu12:49
hinzundcodeis it possible to access the wishbone bus over usb from within python? spawning wishbone-tool as a child process works but writing every single byte using a new process takes a looong time12:55
xobsYes, but as I'm not so familiar with Python I can only point you in the right direction.12:56
*** alexhw has quit IRC12:58
xobsProbably the easiest way to do it would be to run `wishbone-tool` in "wishbone server mode" (`wishbone-tool -s wishbone`), then connect to it using `litex_client`.12:58
*** acathla has quit IRC12:58
*** acathla has joined #tomu12:58
xobsThat's located in `litex.tools.litex_client` in https://github.com/enjoy-digital/litex/blob/master/litex/tools/litex_client.py12:58
tpbTitle: litex/litex_client.py at master · enjoy-digital/litex · GitHub (at github.com)12:58
xobs(You also can run a wishbone server entirely in Python, if you prefer)12:59
hinzundcodethank you :)13:01
*** alexhw has joined #tomu13:02
hinzundcodeI ended up using CommUSB from litex.tools directly. works like charm :)14:07
*** im-tomu has left #tomu14:08
*** im-tomu has joined #tomu14:08
*** hinzundcode has quit IRC16:55
*** CarlFK1 has joined #tomu20:22
*** CarlFK has quit IRC20:25
*** awe00 has joined #tomu20:30
*** ssb has quit IRC20:31
*** awe00_ has quit IRC20:32
*** ssb has joined #tomu20:43
*** ssb has quit IRC20:53
*** ssb has joined #tomu21:20
*** ssb has quit IRC22:41
*** awe00 has quit IRC22:45
*** awe00 has joined #tomu22:56
*** awe00 has quit IRC23:26
*** ssb has joined #tomu23:43
*** ssb has quit IRC23:57

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!