Friday, 2019-03-22

*** tpb has joined #tomu00:00
mithroxobs: Damn about the boards02:59
mithroxobs: Do have ideas around the NVCM02:59
mithroxobs: How is the conference in Europe going / gone?02:59
xobsmithro: my idea for NVCM was to see what the "Secure load" tickbox did in Icecube.  I have no idea where to go from there.03:43
xobsReally sucks about the boards, though.03:43
xobsFSiC in Europe was good! Met lots of interesting people, and got a mini-lesson in SpinalHDL.03:44
xobsmithro: the only blocking issue I have right now for Foboot is a SPI block, which shouldn't be too difficult to make, especially if I adapt the one from litex.03:46
xobsI'm thinking I'll have a single register that sets CS manually, then a configuration register, an 8-bit "last value" register, and an 8-bit "write data" register that sends data out and updates the "last value" register.03:47
*** tannewt has quit IRC04:41
*** tannewt has joined #tomu04:46
*** AmosSam has left #tomu06:30
*** AmosSam has joined #tomu06:58
*** rohitksingh has joined #tomu07:08
*** rohitksingh has quit IRC07:32
MadHackerxobs: Needs some way to indicate busy status.08:44
MadHackerIt takes a while to shift out 8 bits if you need to run it slowish at all.08:44
MadHackerSo no writes to write data until it's done; alternatively, FIFO or blocking writes?08:44
*** ppisati has joined #tomu09:32
ppisatifomu question: i read it has 128KB of ram, is that bram or external sram? and how much of that is left when the risc-v core is synthesized?09:37
xobsppisati: It has 128 kB of single-ported SRAM, separate from BRAM.  100% (or 0%) is left after the RISC-V core is synthesized.09:38
xobsI.e. it's not used for buffers or the register file, which goes in a separate 16 kB BRAM block.09:39
ppisatixobs: awesome, thanks10:02
tntxobs: I'm working on adding support for the hard spi IP to nextpnr, that might be useful :p10:12
xobstnt: super useful!  I forgot that existed.10:13
xobsLooks like it's only 1-bit, which might be an argument for coming up with a Verilog SPI block, though.10:16
tntYeah, it's not QSPI or anything. If you want a more advanced one, there is the one in the picorv32 repo.10:17
tnthttps://github.com/cliffordwolf/picorv32/blob/master/picosoc/spimemio.v10:18
tpbTitle: picorv32/spimemio.v at master · cliffordwolf/picorv32 · GitHub (at github.com)10:18
xobsSuper fancy.10:19
xobsI've got the SPI module from _florent_ wired up to a Verilog test bench, and I'm trying to understand how it works.  I think this'll get me the basics.10:19
xobsOnce I have that, the fomu-flash code should be super simple to port over.10:20
xobsOh, wait, spiflash is the file I should be looking at, not spimemio. Even better.10:21
xobsThanks, tnt!10:21
tntspiflash is a verilog model of a spi flash chip for simulation.10:23
*** awe00 has joined #tomu10:25
xobsI think I see how it works.10:40
*** rohitksingh has joined #tomu10:43
*** rohitksingh has quit IRC11:36
*** esden_ has joined #tomu12:14
*** jayvee` has joined #tomu12:17
*** rails_ has joined #tomu12:20
*** jayvee has quit IRC12:22
*** rails has quit IRC12:22
*** esden has quit IRC12:22
*** esden_ is now known as esden12:22
*** AmosSam has left #tomu13:08
*** AmosSam has joined #tomu13:11
*** rohitksingh has joined #tomu13:32
*** rohitksingh has quit IRC14:25
*** rohitksingh has joined #tomu14:26
*** rohitksingh has quit IRC14:31
*** AmosSam has left #tomu14:58
*** rohitksingh has joined #tomu14:59
*** awe00 has quit IRC14:59
*** AmosSam has joined #tomu15:01
*** awe00 has joined #tomu15:01
*** futarisIRCcloud has quit IRC15:37
*** rohitksingh has quit IRC15:50
*** rohitksingh_ has joined #tomu15:50
*** rohitksingh_ has quit IRC16:39
*** rohitksingh has joined #tomu16:52
*** rohitksingh has quit IRC17:20
*** awe00 has quit IRC17:33
*** AmosSam has left #tomu17:50
*** AmosSam has joined #tomu17:50
*** rohitksingh has joined #tomu17:53
mithroxobs: Why not start with bit banging?18:34
*** jas4711 has quit IRC18:36
*** rohitksingh has quit IRC18:56
*** rohitksingh has joined #tomu18:56
*** jas4711 has joined #tomu18:56
*** awe00 has joined #tomu19:04
*** rohitksingh has quit IRC19:05
*** TheJJ_ has joined #tomu21:36
*** TheJJ has quit IRC21:39
*** auscompgeek has quit IRC23:45
*** futarisIRCcloud has joined #tomu23:58

Generated by irclog2html.py 2.13.1 by Marius Gedminas - find it at mg.pov.lt!