Monday, 2024-10-21

*** tpb <[email protected]> has joined #yosys00:00
*** lexano <[email protected]> has quit IRC (Remote host closed the connection)00:54
*** lexano <[email protected]> has joined #yosys01:06
*** bjorkintosh <bjorkintosh!~bjork@user/bjorkintosh> has quit IRC (Ping timeout: 276 seconds)02:14
*** madprops <madprops!~hj8oijo@user/madprops> has joined #yosys02:51
*** bpye <bpye!~bpye@user/bpye> has quit IRC (Ping timeout: 252 seconds)05:47
*** bpye <bpye!~bpye@user/bpye> has joined #yosys05:56
*** FabM <FabM!~FabM@2a03:d604:108:3f00:493f:31b2:3ae:4ec5> has joined #yosys06:07
*** krispaul <[email protected]> has joined #yosys08:04
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 265 seconds)08:05
*** Lucretia <Lucretia!~Luke@user/lucretia> has quit IRC (Quit: Konversation terminated!)09:10
*** vup <[email protected]> has quit IRC (Quit: vup)10:00
*** anuejn <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)10:00
*** vup <[email protected]> has joined #yosys10:41
*** vup <[email protected]> has quit IRC (Remote host closed the connection)10:42
*** vup <[email protected]> has joined #yosys10:42
*** anuejn <[email protected]> has joined #yosys10:42
*** cr1901_ <cr1901_!~cr1901@2601:8d:8600:226:5566:465e:6932:1301> has joined #yosys11:28
*** cr1901 <cr1901!~cr1901@2601:8d:8600:226:6712:3a8e:7f64:543a> has quit IRC (Ping timeout: 276 seconds)11:32
*** bjorkintosh <bjorkintosh!~bjork@2600:1700:5400:c80:d3f8:f573:8099:4bd2> has joined #yosys12:33
*** cr1901_ <cr1901_!~cr1901@2601:8d:8600:226:5566:465e:6932:1301> has quit IRC (Read error: Connection reset by peer)12:56
*** Lord_Nightmare <Lord_Nightmare!~Lord_Nigh@user/lord-nightmare/x-3657113> has quit IRC (Quit: ZNC - http://znc.in)12:57
*** cr1901 <cr1901!~cr1901@2601:8d:8600:226:5566:465e:6932:1301> has joined #yosys12:57
*** Lord_Nightmare <Lord_Nightmare!~Lord_Nigh@user/lord-nightmare/x-3657113> has joined #yosys13:01
*** juri_ <[email protected]> has quit IRC (Ping timeout: 265 seconds)13:10
*** juri_ <[email protected]> has joined #yosys13:22
*** juri_ <[email protected]> has quit IRC (Read error: Connection reset by peer)13:22
*** unkraut <[email protected]> has quit IRC (Read error: Connection reset by peer)13:38
*** krispaul <[email protected]> has quit IRC (Quit: WeeChat 3.5)13:39
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys13:40
*** remexre <remexre!~remexre@user/remexre> has joined #yosys13:40
*** unkraut <[email protected]> has joined #yosys13:43
*** juri_ <[email protected]> has joined #yosys14:08
*** anticw <[email protected]> has quit IRC (Quit: leaving)14:30
*** anticw <[email protected]> has joined #yosys14:30
tntQuick question. For context, I'm working on techn mapping for iHP PDK. Can yosys produce $_DLATCH_N_ even if there is no techmap for it ?  I thought it would only use what was defined ?16:54
loftytnt: do you mean as input to dfflibmap?16:56
loftyLike, sure, Yosys can produce them - even though they're extremely rare in practice - but it can't currently map latches to liberty cells 16:57
tntMmm, ok, I was under the misatek impression thatif you have a map for _DLATCH_NN0_  but not for _DLATCH_N_ , then yosys would use the former by iteself to implement the latter.17:02
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 248 seconds)17:04
loftytnt: not entirely mistaken; you're probably thinking of the behaviour of the `dfflegalize` pass, which can implement simpler flops in terms of more complicated ones.17:05
tntbut I guess this doesn't work for latches ?17:06
loftytnt: it does work for latches17:07
tntMmm ... well then I'm wondering why I was ending up with unmapped _DLATCH_N_ when I have a map for _DLATCH_NN0_17:07
loftyDid you call dfflegalize?17:09
tntNo hits for dfflegalize in the synth script from ORFS. That might be it ?17:09
loftyIs there a call to dfflibmap?17:09
tntyes17:10
tnthttps://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/flow/scripts/synth.tcl17:11
loftydfflibmap calls dfflegalize behind the scenes, but only for the cells it inferred17:12
*** emeb_mac <[email protected]> has joined #yosys20:35
*** SpaceCoaster <SpaceCoaster!~derek@user/spacecoaster> has quit IRC (Read error: Connection reset by peer)21:55
*** SpaceCoaster <SpaceCoaster!~derek@user/spacecoaster> has joined #yosys21:56
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:39
*** nonchip <[email protected]> has joined #yosys22:39
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::1d> has joined #yosys22:44
so-offishIs Yosys' creation of SMT2 files deterministic?23:27

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!