Wednesday, 2024-08-07

*** tpb <[email protected]> has joined #yosys00:00
*** Stary <Stary!Stary@hacksoc/infrastructure> has quit IRC (Quit: ZNC - http://znc.in)03:43
*** Stary <Stary!Stary@hacksoc/infrastructure> has joined #yosys03:51
*** derekn <[email protected]> has quit IRC (Ping timeout: 244 seconds)05:08
*** derekn <[email protected]> has joined #yosys05:15
*** skipwich <skipwich!~skipwich@user/skipwich> has quit IRC (Read error: Connection reset by peer)06:17
*** skipwich <skipwich!~skipwich@user/skipwich> has joined #yosys06:19
*** lexano <[email protected]> has quit IRC (Ping timeout: 245 seconds)07:28
*** lexano <[email protected]> has joined #yosys07:41
*** cyrozap_ <cyrozap_!~cyrozap@user/cyrozap> has quit IRC (Quit: ZNC 1.8.2+deb3.1+deb12u1 - https://znc.in)10:31
*** cyrozap <cyrozap!~cyrozap@user/cyrozap> has joined #yosys10:33
*** cyrozap <cyrozap!~cyrozap@user/cyrozap> has quit IRC (Quit: ZNC 1.8.2+deb3.1+deb12u1 - https://znc.in)11:20
*** bjorkintosh <bjorkintosh!~bjork@user/bjorkintosh> has quit IRC (Remote host closed the connection)11:30
*** bjorkintosh <bjorkintosh!~bjork@user/bjorkintosh> has joined #yosys11:32
*** cyrozap <cyrozap!~cyrozap@user/cyrozap> has joined #yosys11:32
*** cyrozap <cyrozap!~cyrozap@user/cyrozap> has quit IRC (Quit: ZNC 1.8.2+deb3.1+deb12u1 - https://znc.in)11:41
*** cyrozap <cyrozap!~cyrozap@user/cyrozap> has joined #yosys11:42
*** cyrozap <cyrozap!~cyrozap@user/cyrozap> has quit IRC (Client Quit)11:46
*** cyrozap <cyrozap!~cyrozap@user/cyrozap> has joined #yosys11:48
*** cyrozap <cyrozap!~cyrozap@user/cyrozap> has quit IRC (Quit: ZNC 1.8.2+deb3.1+deb12u1 - https://znc.in)12:01
*** cyrozap <cyrozap!~cyrozap@user/cyrozap> has joined #yosys12:03
*** smkz <smkz!~x@user/smkz> has quit IRC (Quit: smkz)15:03
*** smkz <smkz!~x@user/smkz> has joined #yosys15:05
*** famubu[m] <famubu[m]!famubumatr@2a01:4f8:c012:5b7:0:1:0:3ba> has quit IRC (Quit: Idle timeout reached: 172800s)16:05
somlosilly cmake question (re nextpnr/himbaechel/xilinx): I ran `cmake . -DARCH="himbaechel" -DHIMBAECHEL_XILINX_DEVICES="all" -DHIMBAECHEL_PRJXRAY_DB="../prjxray-db"` and toward the end it's complaining about being unable to find '../prjxray-db/artix7/all/tilegrid.json' :)16:17
somloDo I really have to enumerate all Artix7 (and whatever else) devices, or is there some other way to specify that I want all of them on the cmake command line ?16:18
somlohmm, going by the gowin example, they do have a special-case where `all` points to a full pre-enumerated list in the CmakeLists file, but they only have like 8 devices or so16:23
somloin comparison, the xilinx artix7 list is *HUGE* :)16:24
somloguess this is another question for gatecat...16:24
somlothis is the part where knowing which board is "canonical" for the xilinx/himbaechel commit (5bfe0dd1) would allow me to specify the right artix7 model for an initial attempt to get *something* working as a starting point... 16:29
gatecatsomlo: I haven't touched himbaechel-xilinx for a while, so this is a bit rusty16:39
gatecatbut I'm pretty sure I was testing on an arty 35t16:40
gatecatbut yeah, no way of building for all devices atm16:40
gatecatI think that's xc7a35t on the command line16:41
somlothere's 91 of them in prjxray-db right now, so that'd be a hefty HIMBAECHEL_XILINX_ALL entry in the cmake file :D (no point in adding that at this early stage, so I'll try xc7a35t only for now)16:41
gatecator indeed, xc7a50t actually :P16:42
gatecatthe 35t is a fake device16:42
somloI remember having a 35t sitting around in a box somewhere, I'll go try to dig it up16:42
gatecatand apparently I already special-cased the lookup there16:42
gatecathttps://github.com/YosysHQ/nextpnr/blob/e9e7dce23d537d4318dfadcf2cd22310b9fdd11a/himbaechel/uarch/xilinx/xilinx.cc#L5216:42
somlonot sure they're still for sale (in case I don't find it) -- all digilent lists right now is a 100t board16:42
somloI remember something about the 35t being a software-lobotomized 50t from a conversation way back...16:45
somlogatecat: there's 20 variants of the xc7a35t* (and 21 of the xc7a50t*) in prjxray-db, and it looks like I need to spell out the exact sub-variant on the cmake setup line...17:09
somloguess I'll need to find the board and look at the actual chip markings if I'm to pick the right one...17:12
somlomade it as far as "xc7a35tcsg324-" -- there's "-1", "-2", "-2L", and "-3", not sure which one based on the markings -- https://imgur.com/a/454o7UU17:18
tpbTitle: Imgur: The magic of the Internet (at imgur.com)17:18
somloapparently it's the "L1|" that's relevant, and the datasheet says I should probably go with the "-1" speed grade, so that's my best guess right now...17:23
somlook, answering my own question -- no need to specify speed grades, but there needs to be a tilegrid.json file under the model name. so xc7a35t doesn't have one, had to go for xc7a50t (knowing it's the same thing underneath)17:32
somloin conclusion, `cmake . -DARCH="himbaechel" -DHIMBAECHEL_XILINX_DEVICES="xc7a50t" -DHIMBAECHEL_PRJXRAY_DB="/full/path/to/prjxray-db"` works, followed by `cmake --build . --verbose` for the actual compile run17:33
somlonow, let's see what happens when I try to actually use it, fingers crossed :)17:34
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::23> has joined #yosys18:20
somlonextpnr-himbaechel (built for xilinx) seems to expect a `-json` file to ingest, but (at least by default), yosys' `synth_xilinx` will only output edif or blif21:36
somlowhat to do? Can I just follow up `synth_xilinx` with an explicit `write_json` command, or is there more to it than that ?21:36
loftysomlo: yeah, it's that simple21:37
somlonice, thanks!21:37
somlook, I have a json file ready for nextpnr-himbaechel. With ecp5, there was a `-lpf <board_file>` option to specify pin constraints; however, for the arty board, all I have is an xdc file which contains the same pin data, and no obvious clue as to how I'd pass that into nextpnr21:45
somlos/same pin data/same *kind* of pin data, for the arty rather than the ecpix/21:47
somloor do I have to (for now, with the early dev. state of xilinx support) pass raw fpga pin names directly to the toplevel verilog module? (in which case, does anyone have an example of the syntax I can use as inspiration)?21:50
somloe.g., on the arty, user_led0 is mappped to pin H5, user_led1 to J5, etc., and clk100 is E3; Would `module top( input E3, output H5, output J5, ...` be all I need, or am I out-thinking myself again? :)21:52
somlonvm, I found the blinky.sh example added with the himbaechel-xilinx patch, complete with additional optimizations on the yosys command line, *and* instructions on how to specify the xdc file :)22:05
somlosorry for the noise :)22:05
*** mewt <[email protected]> has quit IRC (Ping timeout: 264 seconds)22:19
*** mewt <[email protected]> has joined #yosys22:20
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:35
*** nonchip <[email protected]> has joined #yosys22:35
*** lexano <[email protected]> has quit IRC (Ping timeout: 252 seconds)23:53

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!