Tuesday, 2024-07-02

*** tpb <[email protected]> has joined #yosys00:00
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 246 seconds)00:52
*** Stary <Stary!Stary@hacksoc/infrastructure> has quit IRC (Quit: ZNC - http://znc.in)03:42
*** Stary <Stary!Stary@hacksoc/infrastructure> has joined #yosys03:49
*** flokli <flokli!~flokli@07b2-0000-0000-0000-ee00-4bc1-07d0-2001.sta.estpak.ee> has quit IRC (Quit: WeeChat 4.3.1)05:51
*** flokli <flokli!~flokli@1b22-feec-01ff-e65f-ee00-4bc1-07d0-2001.sta.estpak.ee> has joined #yosys05:52
*** FabM <FabM!~FabM@armadeus/team/FabM> has joined #yosys07:18
*** Psentee <Psentee!~psentee@user/psentee> has quit IRC (Quit: Off)10:33
*** Psentee <Psentee!~psentee@user/psentee> has joined #yosys10:35
*** cr1901 <cr1901!~cr1901@2601:8d:8600:226:a525:e931:64cf:92f3> has quit IRC (Read error: Connection reset by peer)10:39
*** Guest70 <[email protected]> has joined #yosys13:08
*** Guest70 <[email protected]> has quit IRC (Ping timeout: 250 seconds)13:42
*** cr1901 <cr1901!~cr1901@2601:8d:8600:226:f5d2:ccc6:3be3:ef18> has joined #yosys14:21
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 252 seconds)15:05
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys15:33
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 256 seconds)18:15
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys18:15
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 256 seconds)18:26
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys18:28
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 255 seconds)18:36
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys18:37
*** somlo <[email protected]> has quit IRC (Remote host closed the connection)19:14
*** ZipCPU <[email protected]> has quit IRC (Remote host closed the connection)19:20
*** ZipCPU <[email protected]> has joined #yosys19:23
*** somlo <[email protected]> has joined #yosys19:41
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:33
*** nonchip <[email protected]> has joined #yosys22:33

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!