Saturday, 2024-04-13

*** tpb <[email protected]> has joined #yosys00:00
loftyphiltor: anyway, if you open the docs, you can find a description of the architecture, and in particular the "LUT trees", which are certainly one of the FPGA architectures of all time00:01
philtorLooks like their dev boards are $250 from digikey00:01
philtorI think I'd probably lean towards ECP5 board (ULX3S) which is about 1/2 that price.00:02
loftyI mean, sure, but you wanted "new" stuff, and I think it's a little difficult to qualify the ECP5 as new00:03
philtorYeah00:03
loftyIt sounds a little stupid to say, and god knows I've had my frustrations with them00:04
loftyBut there's a wave of "indie FPGA" for whatever one might define as not {Altera, Xilinx, Lattice}, and I think it's healthy to encourage diversity in this stuff00:05
philtorI guess Nexus is Lattice's 'new' FPGA00:05
loftyYeah, it's the family intended to replace both ECP5 and iCE4000:06
philtorIt's supported by Yosys?00:06
philtor(or has yosys support)00:06
loftyAnd nextpnr.00:06
loftyI think the main challenge is that it's off to a...pretty slow start00:07
philtorany dev boards?00:07
loftyA few from Lattice. Too new to see much interest or uptake from the open community because the ECP5 is generally good enough.00:09
lofty(lattice have something of a problem of their old product lines eating their new)00:09
philtorYeah, looking at their site there seems to be a lot of confusing fragmentation00:10
loftyThe nexus family is basically the same underlying fabric with different sizes and features00:11
philtorsearches for nexus fpga development boards point to CertusPro-NX00:11
philtorSeems to be a lot of stuff on those parts like SERDES, etc, that I'm not interested in much. I'd just like a lot of fabric.00:12
loftyHow "a lot" is "a lot"? :p00:12
philtorand those boards are $450 which is even worse than the GateMate board00:12
loftyThey're also probably bigger than the gatemate chip, although that's a really blurry comparison00:13
philtor"a lot of": well, maybe the larger ICE40s would suffice.00:13
*** lexano <[email protected]> has quit IRC (Ping timeout: 260 seconds)00:14
loftyProtip: there is no 12k ECP5 die00:14
loftyIt may say 12K on the part number, but it's actually 25K.00:14
loftyBut you didn't hear that from me.00:14
philtorLooking at doing some bitnet testing (the 2bit weight transformer) with an... unorthodox RISC-V implementation. 00:16
philtorwhich is why I don't care much about peripherals like SERDES, MIPI, etc. on the FPGA00:17
loftyI've been in the open hardware community enough that orthodox RISC-V implementations feel like the exception 00:20
lofty(microcoded RISC-V, anybody?)00:21
lofty(and I have my own sketch of a dumb RV core)00:21
philtoryeah... well this one is pretty out there. Not my idea, but kind of interesting. Can't say a lot about it. Dynamically modifiable microcode is part of it.00:24
philtorBut there's more than the RISC-V piece hence the need for gates... or LUTs. 00:26
philtorOh, hmmm... maybe the GateMate would actually be good for this "Each CPE is configurable as a 2-bit full-adder or 2×2-bit multipliers " that's kind of right up our alley.00:36
*** SpaceCoaster <SpaceCoaster!~derek@user/spacecoaster> has quit IRC (Quit: Bye)01:21
*** SpaceCoaster <SpaceCoaster!~derek@user/spacecoaster> has joined #yosys01:22
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 260 seconds)01:28
loftyphiltor: you're trading off potential performance for flexibility, but then there are limits to performance anyway.01:45
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys01:56
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys01:59
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys02:38
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 260 seconds)03:26
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys03:27
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 260 seconds)04:29
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 268 seconds)06:17
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys06:46
*** emeb_mac <[email protected]> has quit IRC (Quit: Leaving.)07:53
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 246 seconds)08:26
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys08:29
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 264 seconds)08:34
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys08:50
*** tlwoerner <[email protected]> has quit IRC (Ping timeout: 256 seconds)11:18
*** tlwoerner <[email protected]> has joined #yosys12:20
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys13:02
*** tlwoerner <[email protected]> has quit IRC (Ping timeout: 252 seconds)13:40
*** tlwoerner <[email protected]> has joined #yosys13:42
*** emeb_mac <[email protected]> has joined #yosys14:39
*** lexano <[email protected]> has joined #yosys15:03
*** bjorkintosh <bjorkintosh!~bjork@user/bjorkintosh> has quit IRC (Quit: "Every day, computers are making people easier to use." David Temkin)16:31
*** bjorkintosh <bjorkintosh!~bjork@user/bjorkintosh> has joined #yosys16:35
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 260 seconds)16:55
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 268 seconds)17:47
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys17:49
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 256 seconds)17:55
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys18:27
philtorlofty: is yosys able to take advantage of these GateMate features? (configuring CPEs as 2bit full adders or 2x2 multipliers)18:53
loftyYes, it can even make use of the mux4 capability 18:54
tntIsn't yosys their official tooling ? If so I'd hope it can use all their features :)18:55
loftyIt's their official *synthesiser*18:56
tntwell yeah, yosys never does pnr or did you mean something else ?18:57
loftyI've seen enough people use "supported by Yosys" to mean "supported by Yosys and nextpnr" that I prefer to make the distinction clear18:58
tntOk fair enough. I know the distinction :D18:59
loftyLike, you need their proprietary place and route tool; yes, you could say it's supported by Yosys, but that's not always what people are actually asking for19:00
tntI know. I wish their pnr tool was oss too ... or better just nextpnr alas ... it's neither.19:03
tntAt least it's no longer a win32 binary they tell you to run on wine ...19:04
tntso I guess it's "progress"19:04
lofty[20:03:43]  tnt: I know. I wish their pnr tool was oss too ... or better just nextpnr <--- ;)19:08
lofty(though from what I've heard, even if they opened their tooling, it would probably not be so great)19:08
tnt:)19:09
philtorHmm... their doc has a diagram that points to the pnr part of the flow and says "nextpnr arch planned in 2023"20:39
loftyunfortunately we at yosyshq have our hands full with a different nextpnr arch presently, so, uh20:41
philtorso what you're saying is that while yosys (the synthesis part) may work well with GateMate, the P&R story isn't great.20:42
loftyI personally have no experience with GateMate's P&R, so I can't say anything either way20:43
philtorSome aspects of the arch look really suitable for what I'm trying to do (help someone with an idea get a working POC so he can persue funding), but other aspects give me pause.20:44
loftylike that P&R tooling, I assume20:45
philtoryeah, sounds like it's kind of a questionmark at this point, like is it even really a working flow?20:45
loftyI believe so20:45
lofty(I also think part of the P&R story is they based it off somebody else's code and they do not have permission to release it)20:46
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 264 seconds)21:32
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys21:36
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:28
*** nonchip <[email protected]> has joined #yosys22:29

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!