Saturday, 2023-12-16

*** tpb <[email protected]> has joined #yosys00:00
*** nelgau <[email protected]> has quit IRC (Read error: Connection reset by peer)01:00
*** nelgau_ <[email protected]> has joined #yosys01:00
*** nelgau_ <[email protected]> has quit IRC (Read error: Connection reset by peer)01:02
*** nelgau <[email protected]> has joined #yosys01:02
*** nelgau <[email protected]> has quit IRC (Read error: Connection reset by peer)01:05
*** nelgau <[email protected]> has joined #yosys01:08
*** nelgau <[email protected]> has quit IRC (Read error: Connection reset by peer)01:12
*** shoragan <shoragan!~shoragan@user/shoragan> has quit IRC (Quit: quit)01:15
*** nelgau_ <[email protected]> has joined #yosys01:15
*** shoragan <shoragan!~shoragan@user/shoragan> has joined #yosys01:16
*** nelgau_ <[email protected]> has quit IRC (Read error: Connection reset by peer)01:30
*** nelgau <[email protected]> has joined #yosys01:31
*** nelgau <[email protected]> has quit IRC (Read error: Connection reset by peer)02:06
*** nelgau <[email protected]> has joined #yosys02:07
*** nelgau <[email protected]> has quit IRC (Read error: Connection reset by peer)02:15
*** nelgau_ <[email protected]> has joined #yosys02:15
*** skipwich_ <skipwich_!~skipwich@user/skipwich> has quit IRC (Quit: DISCONNECT)03:00
*** skipwich <skipwich!~skipwich@user/skipwich> has joined #yosys03:02
*** smkz <smkz!~x@user/smkz> has quit IRC (Quit: smkz)03:35
*** emeb_mac <[email protected]> has quit IRC (Quit: Leaving.)07:00
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys14:43
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)14:46
*** emeb_mac <[email protected]> has joined #yosys15:06
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:16
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys16:18
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Remote host closed the connection)16:22
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys16:23
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:33
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys16:36
*** Guest84 <[email protected]> has joined #yosys21:35
*** Guest84 <[email protected]> has quit IRC (Client Quit)21:35
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)23:22
*** nonchip <[email protected]> has joined #yosys23:22

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!