Thursday, 2023-10-26

*** tpb <[email protected]> has joined #yosys00:00
*** lexano <[email protected]> has quit IRC (Ping timeout: 255 seconds)01:59
*** charlottia <charlottia!charlotteh@2a01:4f8:c012:5b7:0:1:0:1d> has quit IRC (Quit: Idle timeout reached: 172800s)03:12
*** srk_ <srk_!~sorki@user/srk> has joined #yosys03:25
*** srk| <srk|!~sorki@user/srk> has joined #yosys03:27
*** srk <srk!~sorki@user/srk> has quit IRC (Ping timeout: 255 seconds)03:28
*** srk_ <srk_!~sorki@user/srk> has quit IRC (Ping timeout: 255 seconds)03:30
*** srk| is now known as srk03:31
*** srk_ <srk_!~sorki@user/srk> has joined #yosys03:38
*** srk <srk!~sorki@user/srk> has quit IRC (Ping timeout: 272 seconds)03:41
*** srk_ is now known as srk03:41
*** srk_ <srk_!~sorki@user/srk> has joined #yosys04:25
*** srk| <srk|!~sorki@user/srk> has joined #yosys04:27
*** srk <srk!~sorki@user/srk> has quit IRC (Ping timeout: 255 seconds)04:28
*** srk| is now known as srk04:30
*** srk_ <srk_!~sorki@user/srk> has quit IRC (Ping timeout: 272 seconds)04:31
*** srk_ <srk_!~sorki@user/srk> has joined #yosys04:39
*** srk <srk!~sorki@user/srk> has quit IRC (Ping timeout: 255 seconds)04:41
*** srk_ is now known as srk04:42
*** srk_ <srk_!~sorki@user/srk> has joined #yosys06:23
*** srk <srk!~sorki@user/srk> has quit IRC (Ping timeout: 258 seconds)06:27
*** srk| <srk|!~sorki@user/srk> has joined #yosys06:28
*** srk_ <srk_!~sorki@user/srk> has quit IRC (Ping timeout: 248 seconds)06:29
*** srk| is now known as srk06:30
*** srk_ <srk_!~sorki@user/srk> has joined #yosys06:37
*** srk <srk!~sorki@user/srk> has quit IRC (Ping timeout: 248 seconds)06:40
*** srk_ is now known as srk06:40
*** srk_ <srk_!~sorki@user/srk> has joined #yosys08:55
*** srk| <srk|!~sorki@user/srk> has joined #yosys08:56
*** srk <srk!~sorki@user/srk> has quit IRC (Ping timeout: 258 seconds)08:59
*** srk| is now known as srk09:00
*** srk_ <srk_!~sorki@user/srk> has quit IRC (Ping timeout: 258 seconds)09:00
*** srk_ <srk_!~sorki@user/srk> has joined #yosys09:10
*** srk <srk!~sorki@user/srk> has quit IRC (Ping timeout: 255 seconds)09:13
*** srk_ is now known as srk09:13
*** samekh <samekh!6218e7120f@2604:bf00:561:2000::125c> has joined #yosys10:43
*** lexano <[email protected]> has joined #yosys12:21
FL4SHKcan yosys be made to use multiple CPU cores?14:35
FL4SHKI have 16 hardware threads... hoping to speed up the process14:35
FL4SHK(8 actual CPU cores)14:35
tntFL4SHK: nope14:37
*** samekh <samekh!6218e7120f@2604:bf00:561:2000::125c> has left #yosys14:51
FL4SHKtnt: that's unfortunate14:54
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 248 seconds)14:54
tntBut how long can the synthesis be ? What are you doing ?14:55
FL4SHKI mean it takes a while because of how large my module is14:55
tntyeah, but define what you consider "a while" and "how large" (which target ?)14:56
FL4SHKI'm only using yosys to try to get better results than Vivado14:57
FL4SHKthe "target" is defined in Vivado14:57
FL4SHKit's an Arty A7 100T14:57
FL4SHKthe number of lines of code is 100k but a lot of it is because it's generated by SpinalHDL14:58
tntMmm, ok, I see.14:59
FL4SHKokay this idea doesn't seem to be something that will work15:00
FL4SHKsince even NeoVim can't open the synthesized .v file15:01
FL4SHKnot with `proc` involved15:03
FL4SHKI'll try just `synth`15:05
FL4SHKwhat I'm hoping to achieve here is test whether yosys can figure out that I'm really doing a synchronous read from an array15:05
FL4SHKI am doing an asynchronous read from an array and passing the data to a pipeline skid buffer15:06
FL4SHKI was hoping yosys would be able to figure it out since Vivado doesn't15:06
FL4SHKotherwise I'll have to write fancier code that directly does synchronous reads from the array15:06
FL4SHK...my goal is to infer BRAM15:06
FL4SHKsince these arrays are rather large15:06
FL4SHKwhat I've made is a GPU for 2D graphics15:07
FL4SHKsprites and backgrounds15:07
FL4SHKvery configurable and also open source15:07
FL4SHKit's in my libcheesevoyage library on my Githbu15:10
FL4SHKGitHub15:10
FL4SHKin the `hw/spinal/libcheesevoyage/gfx` directory15:10
FL4SHKmy GitHub username is the same as my username here on IRC15:12
FL4SHK"fl4shk"15:12
*** Guest12 <[email protected]> has joined #yosys15:20
Guest12anyone know how to simulate a verilog in yosys?15:21
FL4SHKGuest12: yosys isn't a simulator15:22
FL4SHKyou need something like Verilator or Icarus Verilog for that15:22
FL4SHKalso, for my problem, I have determined that yosys won't work for my situation15:23
FL4SHKso I'm going to implement something else15:24
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys15:24
Guest12FL4SHK then what's the point of yosys if verilator/icarus can directly simulate verilog?15:28
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 255 seconds)15:33
whitequark[cis]synthesis15:35
whitequark[cis]that said, yosys does have a sim pass15:35
*** Guest12 <[email protected]> has quit IRC (Quit: Client closed)16:08
FL4SHKoh it does?17:32
FL4SHKoops17:32
FL4SHKI forgot about CXX RTL17:32
*** somlo <[email protected]> has quit IRC (Ping timeout: 255 seconds)17:46
*** nelgau <[email protected]> has quit IRC (Read error: Connection reset by peer)17:48
*** nelgau <[email protected]> has joined #yosys17:49
*** somlo <[email protected]> has joined #yosys17:50
*** somlo <[email protected]> has quit IRC (Ping timeout: 272 seconds)18:52
*** somlo <[email protected]> has joined #yosys18:55
whitequark[cis]FL4SHK: no, CXXRTL and the `sim` pass are entirely independent19:08
whitequark[cis]so Yosys has two simulators in it19:08
*** nelgau <[email protected]> has quit IRC (Read error: Connection reset by peer)19:49
*** nelgau <[email protected]> has joined #yosys19:49
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 256 seconds)20:15
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys20:17
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 258 seconds)20:37
FL4SHKwhitequark[cis]: gotcha20:57
FL4SHKthat's very cool21:00
FL4SHKokay so yosys actually managed to synth_xilinx my feeding pipeline skid buffers with asynchronous reads to BRAM21:33
FL4SHKI had to use `synth_xilinx` for it21:37
whitequark[cis]what were you using before?21:58
FL4SHKVivado21:58
FL4SHKits native synthesis21:58
whitequark[cis]ah21:58
FL4SHKNow I'm switching flow21:58
FL4SHKs21:58
FL4SHKthis is excellent21:59
FL4SHKcan I tailor the yosys to target specific Xilinx FPGAs?22:01
FL4SHKit appears that it's using UltraScale things22:04
FL4SHKbut that's not what I have here22:05
Wanda[cis]you can choose the target family with `synth_xilinx -family <...>`; choosing specific FPGA is not supported22:13
Wanda[cis]it should be using series7 as the target by default22:13
FL4SHKfamily is fine with me22:13
FL4SHKoh22:14
FL4SHKbut it output stuff that's apparently not available in series722:14
FL4SHKI'm working on adjusting my code22:14
Wanda[cis]what kind of stuff?22:14
FL4SHKRAM64M22:14
Wanda[cis]that's a valid 7 series primitive22:15
FL4SHKoh I see22:15
FL4SHKXilinx's documentation didn't show it for 7 series22:16
FL4SHKOh wait22:16
FL4SHKso it does22:16
FL4SHK my mistake!22:16
Wanda[cis]hm?22:16
Wanda[cis]I'm looking at UG768 (v14.7) and it's right there22:16
FL4SHKright22:16
FL4SHKI got linked to Ultrascale22:16
FL4SHKwhen I searched22:16
FL4SHKin any case22:17
FL4SHKthat's not a design element I want to use22:17
FL4SHKI want to use fully block RAM22:17
FL4SHKso I'll be adjusting my code22:17
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:19
*** nonchip <[email protected]> has joined #yosys22:19
*** nelgau <[email protected]> has quit IRC (Read error: Connection reset by peer)22:32
*** nelgau_ <[email protected]> has joined #yosys22:32
*** nelgau_ <[email protected]> has quit IRC (Remote host closed the connection)22:32
*** nelgau <[email protected]> has joined #yosys22:32
*** nelgau <[email protected]> has quit IRC (Remote host closed the connection)22:33
*** nelgau <[email protected]> has joined #yosys22:33
*** nelgau <[email protected]> has quit IRC (Read error: Connection reset by peer)22:34
*** nelgau <[email protected]> has joined #yosys22:34
*** nelgau <[email protected]> has quit IRC (Read error: Connection reset by peer)22:42
*** nelgau <[email protected]> has joined #yosys22:42
*** nelgau <[email protected]> has quit IRC (Read error: Connection reset by peer)22:42
*** nelgau <[email protected]> has joined #yosys22:42
*** nelgau <[email protected]> has quit IRC (Read error: Connection reset by peer)22:45
*** nelgau___ <[email protected]> has joined #yosys22:47
*** nelgau___ <[email protected]> has quit IRC (Client Quit)22:49

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!