Tuesday, 2023-10-03

*** tpb <[email protected]> has joined #yosys00:00
*** lumo_e <[email protected]> has quit IRC (Quit: Quit)00:36
*** sugarbeet <[email protected]> has quit IRC (Ping timeout: 245 seconds)01:02
*** sugarbeet <[email protected]> has joined #yosys01:04
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys02:07
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 252 seconds)03:05
Myrl-sakiI uh04:57
Myrl-sakiTurns out >LUT4s was what's been killing my cell usage?04:57
Myrl-sakiI enabled nowidelut, and everything is much better.04:58
Myrl-sakiI am so confused.04:58
Myrl-sakiLike, it's the difference between 2000 and 4000 LUTs.05:02
Myrl-sakiAnd I see a lot of LUT1s. I'm guessing these are inverters?05:03
Myrl-sakiOr are they, erm, repeaters?05:03
loftyMyrl-saki: they're inverters. Have you considered synth_gowin -abc9?05:33
*** bjorkintosh <bjorkintosh!~bjork@user/bjorkintosh> has quit IRC (Ping timeout: 248 seconds)05:46
*** bjorkintosh <bjorkintosh!~bjork@user/bjorkintosh> has joined #yosys05:48
*** FabM <[email protected]> has joined #yosys07:19
corecodeMyrl-saki: do you write tests?08:11
*** tux3 <tux3!~tux3@user/tux3> has quit IRC (Quit: ZNC 1.8.2 - https://znc.in)08:12
*** tux3 <tux3!~tux3@user/tux3> has joined #yosys08:27
*** arogora <[email protected]> has joined #yosys09:04
*** killjoy <killjoy!~nameless@user/killjoy> has quit IRC (Ping timeout: 272 seconds)09:05
*** arogora is now known as killjoy09:05
Myrl-sakicorecode: Not yet, but I've been making more simulation code.09:11
Myrl-sakiERROR: Module 'DFFC' with (* abc9_box *) has no timing (and thus no connectivity) information.09:20
Myrl-sakilofty: 09:20
Myrl-sakiHmm09:23
loftyUm. What's your Yosys version?09:23
loftyOh, right, I see the issue09:23
Myrl-sakiShould be the latest.09:23
Myrl-sakiAh, should these be abc9_flop?09:24
loftyNo09:25
loftyThese don't fit the model of abc9_flop09:26
loftyHowever09:26
loftyabc9_box describes something combinational09:26
loftyand a certain past somebody seems to have written them as sequential paths instead09:26
lofty>.>09:26
Myrl-sakiHm, now I'm slightly curious what's causing the DFFC though. Maybe it's my initialization?09:28
Myrl-sakiI don't think I'm using asynchronous clear anywhere09:28
loftyare you using asynchronous set?09:29
Myrl-sakiI don't *think* so. I'll try to see if I can figure out why.09:29
Myrl-sakiAh, I think it's just the fact that cells_sim.v gets loaded?09:37
Myrl-sakiOh hm09:37
loftyMyrl-saki: https://github.com/YosysHQ/yosys/pull/397709:38
Myrl-sakiThanks. :)09:38
Myrl-saki:o09:47
Myrl-sakiwow09:47
Myrl-sakiSo it's halfway between nowidelut and abc widelut.09:48
Myrl-sakiThanks. :)09:48
loftyWhich gives you the performance of ABC widelut (better, actually), while being noticeably less area09:48
Myrl-sakiYep! Because of routing and whatnot, right?09:49
Myrl-sakiThansk so much. ^^09:49
loftyMyrl-saki: no, because of whiteboxes (and because of delay information)09:50
Myrl-sakiAh09:50
Myrl-sakiBecause it actually knows more granular timings?09:50
Myrl-sakiI read your post and abc works on unit delays?09:51
loftyyep09:51
loftySo a LUT8, a LUT4, and a LUT2 have equal delay :p09:51
loftyObviously, given that a LUT2 can use the fastest inputs of a LUT4, and a LUT8 needs to go through a LUT4 and 4 layers of muxes09:52
loftythis isn't in the least bit realistic :p09:52
Myrl-sakiAlso, TIL about this: `Obviously, given that a LUT2 can use the fastest inputs of a LUT4` how does this work? I'm guessing it's decoder delay or something? Since LUT4s are basically 16-bit SRAMs, right?09:55
loftyThey are, yes; where there's a mux tree to turn the 16 config bits into the 1 output bit09:57
loftyso the four inputs correspond to the four mux layers needed09:57
loftyand so the inputs will have differing propagation delay based on the number of mux layers that the signal has to go through09:57
*** Guest32 <[email protected]> has joined #yosys12:42
Guest32hello, is there a way to read environment variables from a native yosys synth script, or is TCL the only way to go here?12:43
*** Guest32 <[email protected]> has quit IRC (Quit: Client closed)13:02
ZipCPUPerhaps you can read environment variables from an embedded Python script?  That would make sense.14:38
*** GenTooMan <GenTooMan!~cyberman@2601:547:437f:e5c6:21f:5bff:fefe:a883> has quit IRC (Ping timeout: 260 seconds)14:51
*** GenTooMan <GenTooMan!~cyberman@2601:547:437f:e5c6:21f:5bff:fefe:a883> has joined #yosys15:04
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 272 seconds)15:27
*** GenTooMan <GenTooMan!~cyberman@2601:547:437f:e5c6:21f:5bff:fefe:a883> has quit IRC (Ping timeout: 260 seconds)16:14
*** GenTooMan <[email protected]> has joined #yosys16:37
*** GenTooMan <[email protected]> has quit IRC (Ping timeout: 255 seconds)16:43
*** GenTooMan <GenTooMan!~cyberman@2601:547:437f:e5c6:21f:5bff:fefe:a883> has joined #yosys16:46
jleightcapI have a circuit that's entirely combinational, and as I try to compile larger and larger versions, ABC takes a very long time20:26
jleightcapIs there any substitute that isn't as single-threaded?20:26
jleightcapI'm attempting `abc -fast` now, but without I stopped it after about 30 hours20:27
jleightcapJust `abc`, that is, so maybe `-fast` will be much better. Just waiting now.20:28
loftyjleightcap: no, presently not.21:42
*** Lord_Nightmare <Lord_Nightmare!~Lord_Nigh@user/lord-nightmare/x-3657113> has quit IRC (Quit: ZNC - http://znc.in)22:11
*** Lord_Nightmare <Lord_Nightmare!Lord_Night@user/lord-nightmare/x-3657113> has joined #yosys22:14
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:18
*** nonchip <[email protected]> has joined #yosys22:18
*** GenTooMan <GenTooMan!~cyberman@2601:547:437f:e5c6:21f:5bff:fefe:a883> has quit IRC (Ping timeout: 248 seconds)22:27
*** GenTooMan <GenTooMan!~cyberman@2601:547:437f:e5c6:21f:5bff:fefe:a883> has joined #yosys22:28
*** GenTooMan <GenTooMan!~cyberman@2601:547:437f:e5c6:21f:5bff:fefe:a883> has quit IRC (Excess Flood)22:31
*** GenTooMan <GenTooMan!~cyberman@2601:547:437f:e5c6:21f:5bff:fefe:a883> has joined #yosys22:32

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!