Sunday, 2023-06-11

*** tpb <[email protected]> has joined #yosys00:00
*** lambda <[email protected]> has joined #yosys00:00
*** hrberg <[email protected]> has joined #yosys00:00
*** nak <[email protected]> has joined #yosys00:00
*** V <V!~v@ircpuzzles/2022/april/winner/V> has joined #yosys00:02
*** dormito <dormito!~dormito@user/dormito> has joined #yosys00:03
*** smkz <smkz!~x@user/smkz> has joined #yosys00:05
*** vancz <vancz!~vancz@user/vancz> has joined #yosys00:15
*** Wolfvak_ is now known as Wolfvak01:45
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys02:34
*** heath1 <heath1!~heath@user/heath> has quit IRC (Ping timeout: 260 seconds)02:46
*** heath1 <heath1!~heath@user/heath> has joined #yosys02:48
*** jn <jn!~quassel@user/jn/x-3390946> has quit IRC (Ping timeout: 250 seconds)03:05
*** jn <jn!~quassel@2a0a:a541:c46d:0:20d:b9ff:fe49:15fc> has joined #yosys03:06
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 240 seconds)03:46
*** FabM <FabM!~FabM@2a03:d604:103:600:2e60:8c7c:e8fb:7990> has joined #yosys05:19
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys05:58
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 250 seconds)06:28
*** krispaul <[email protected]> has quit IRC (Read error: Connection reset by peer)07:01
*** krispaul <[email protected]> has joined #yosys07:02
*** pbsds <[email protected]> has quit IRC (Quit: The Lounge - https://thelounge.chat)08:44
*** pbsds <[email protected]> has joined #yosys08:46
*** FL4SHK <[email protected]> has quit IRC (Ping timeout: 240 seconds)10:55
*** FL4SHK <[email protected]> has joined #yosys10:57
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)12:50
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys13:07
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)13:22
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys13:24
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)13:38
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys13:40
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)13:50
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys13:51
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)13:58
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys13:59
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)14:15
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys14:16
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)14:22
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys14:25
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)14:30
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys14:32
*** mwk <mwk!~mwk@yosys/mwk> has quit IRC (Ping timeout: 268 seconds)14:33
*** mwk <mwk!~mwk@yosys/mwk> has joined #yosys14:38
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Remote host closed the connection)14:44
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys14:48
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)15:01
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys15:03
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)15:08
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys15:10
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)15:17
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys15:20
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)15:26
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys15:29
*** mwk <mwk!~mwk@yosys/mwk> has quit IRC (Remote host closed the connection)15:45
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)15:58
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys15:59
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:05
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys16:08
*** mwk <mwk!~mwk@yosys/mwk> has joined #yosys16:13
*** mwk <mwk!~mwk@yosys/mwk> has quit IRC (Ping timeout: 255 seconds)16:19
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:23
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys16:26
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:32
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys16:33
*** mwk <mwk!~mwk@yosys/mwk> has joined #yosys16:38
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:40
*** kraiskil <[email protected]> has joined #yosys16:42
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys16:44
*** SpaceCoaster <SpaceCoaster!~derek@user/spacecoaster> has quit IRC (Read error: Connection reset by peer)16:53
*** SpaceCoaster <SpaceCoaster!~derek@user/spacecoaster> has joined #yosys16:57
*** Lord_Nightmare <Lord_Nightmare!~Lord_Nigh@user/lord-nightmare/x-3657113> has quit IRC (Quit: ZNC - http://znc.in)17:10
*** Lord_Nightmare <Lord_Nightmare!~Lord_Nigh@user/lord-nightmare/x-3657113> has joined #yosys17:14
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)17:20
*** SpaceCoaster <SpaceCoaster!~derek@user/spacecoaster> has quit IRC (Ping timeout: 240 seconds)17:21
*** SpaceCoaster <SpaceCoaster!~derek@user/spacecoaster> has joined #yosys17:21
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys17:22
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)17:28
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys17:29
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)17:37
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys17:40
*** SpaceCoaster <SpaceCoaster!~derek@user/spacecoaster> has quit IRC (Read error: Connection reset by peer)17:51
*** SpaceCoaster <SpaceCoaster!~derek@user/spacecoaster> has joined #yosys17:53
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)17:55
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys17:57
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)18:01
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys18:02
*** SpaceCoaster <SpaceCoaster!~derek@user/spacecoaster> has quit IRC (Ping timeout: 260 seconds)18:21
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)18:23
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys18:24
*** SpaceCoaster <SpaceCoaster!~derek@user/spacecoaster> has joined #yosys18:26
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)18:28
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys18:31
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)18:37
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys18:40
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)18:48
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys18:51
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:02
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:05
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:11
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:13
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:18
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:22
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:28
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:29
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:36
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:39
*** hrberg <[email protected]> has quit IRC (Ping timeout: 240 seconds)19:43
*** hrberg <[email protected]> has joined #yosys19:44
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:45
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:46
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:55
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:57
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)20:03
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys20:04
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)20:22
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys20:23
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)20:30
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys20:32
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)20:38
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys20:40
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)20:45
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys20:46
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)20:53
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys20:55
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)21:10
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys21:13
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)21:18
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys21:22
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)21:27
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys21:29
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)21:35
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys21:36
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)21:44
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys21:46
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)21:53
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys21:55
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)22:02
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys22:03
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)22:08
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:13
*** nonchip <[email protected]> has joined #yosys22:14
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys22:26
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)22:33
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys22:36
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)22:42
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys22:45
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)22:51
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys22:52
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)22:58
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys22:59
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)23:24
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys23:28
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)23:34
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys23:36
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)23:43
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys23:45
*** kraiskil <[email protected]> has quit IRC (Ping timeout: 255 seconds)23:51

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!