Monday, 2023-06-05

*** tpb <[email protected]> has joined #yosys00:00
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)00:00
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys00:02
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)00:13
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys00:16
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)00:22
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys00:25
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)00:40
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys00:41
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)00:52
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys00:53
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)00:57
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys00:58
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)01:06
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys01:08
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)01:14
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys01:15
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)01:20
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys01:31
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)01:36
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys01:37
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)01:44
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys01:45
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)02:00
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys02:04
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)02:11
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys02:21
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)02:30
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys02:32
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)02:48
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys02:50
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)02:56
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys02:59
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)03:04
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys03:05
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)03:11
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys03:13
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)03:18
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys03:19
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)03:25
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys03:35
*** striving <[email protected]> has quit IRC (Ping timeout: 240 seconds)03:37
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)04:02
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys04:03
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)04:09
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys04:18
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)04:31
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys04:49
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)04:55
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys04:59
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)05:04
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys05:05
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)05:13
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys05:15
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)05:22
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys05:32
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)05:41
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys05:45
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)05:52
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys05:53
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)06:14
*** FabM <FabM!~FabM@2a03:d604:103:600:2e60:8c7c:e8fb:7990> has joined #yosys06:23
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys06:25
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)06:30
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys06:32
*** kraiskil <[email protected]> has joined #yosys06:33
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)06:39
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys06:40
*** kraiskil <[email protected]> has quit IRC (Ping timeout: 240 seconds)06:55
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)06:58
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys07:02
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys07:02
*** krispaul <[email protected]> has quit IRC (Ping timeout: 265 seconds)07:04
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)07:15
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys07:22
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)07:33
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys07:36
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)07:44
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys07:45
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)07:56
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys08:06
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)08:22
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys08:24
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)08:44
*** lexano <[email protected]> has quit IRC (Ping timeout: 256 seconds)08:44
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys08:45
*** lexano <[email protected]> has joined #yosys08:57
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)09:15
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys09:19
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)09:24
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys09:25
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)09:32
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys10:01
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)10:22
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys10:26
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)10:34
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys10:35
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)10:42
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys10:44
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)10:50
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys10:51
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)11:02
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys11:10
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)11:18
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys11:20
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)11:28
*** kraiskil <[email protected]> has joined #yosys11:28
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys11:29
*** kraiskil <[email protected]> has quit IRC (Ping timeout: 250 seconds)11:33
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)11:36
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys11:40
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)11:56
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys12:00
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)12:06
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys12:10
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)12:17
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys12:35
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)12:48
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys12:51
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)13:18
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys13:20
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)13:28
*** kraiskil <[email protected]> has joined #yosys13:28
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys13:29
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)13:34
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys13:35
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)13:47
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys13:49
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)13:55
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys13:58
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)14:12
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys14:16
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)14:22
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys14:25
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)14:32
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys14:36
*** kraiskil <[email protected]> has quit IRC (Ping timeout: 250 seconds)14:47
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)14:50
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys14:52
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)15:00
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys15:01
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)15:14
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys15:16
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)15:26
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys15:29
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)15:33
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys15:34
*** sugarbeet <[email protected]> has quit IRC (Ping timeout: 250 seconds)15:36
*** sugarbeet <[email protected]> has joined #yosys15:37
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)15:46
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys15:49
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:06
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys16:10
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:16
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys16:19
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:41
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys16:43
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:48
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys16:51
*** kraiskil <[email protected]> has joined #yosys16:54
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:58
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys17:01
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)17:11
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys17:15
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)17:27
corecodei wonder what this is about:17:28
corecode    chip = pytrellis.Chip(dev_names[args.device])17:28
corecode           ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^17:28
corecodeRuntimeError: No such node (row_bias)17:28
corecode 17:28
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys17:31
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)17:37
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys17:48
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)17:55
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys17:58
loftycorecode: have you updated your prjtrellis-db?18:00
loftyThat sounds to me like libtrellis and prjtrellis-db aren't in sync18:00
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)18:10
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys18:13
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)18:19
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys18:23
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 265 seconds)18:24
*** kraiskil <[email protected]> has quit IRC (Ping timeout: 248 seconds)18:27
*** bjorkint0sh <bjorkint0sh!~bjork@2600:1700:5400:c80:9840:c18a:73cb:3f9d> has joined #yosys18:27
*** bjorkintosh <bjorkintosh!~bjork@user/bjorkintosh> has quit IRC (Ping timeout: 248 seconds)18:30
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)18:30
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys18:31
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)18:37
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys18:41
*** bjork1intosh <bjork1intosh!~bjork@2600:1700:5400:c80:9840:c18a:73cb:3f9d> has joined #yosys18:44
*** bjorkint0sh <bjorkint0sh!~bjork@2600:1700:5400:c80:9840:c18a:73cb:3f9d> has quit IRC (Ping timeout: 256 seconds)18:45
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::17> has joined #yosys18:47
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)18:47
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys18:51
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:02
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:06
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:12
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:15
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:22
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:26
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:31
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:35
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:40
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:41
corecodethanks19:46
corecodethat might be it19:46
corecodei'm so confused why arch has prjtrellis in extra, but nextpnr is in aur19:47
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:47
xiretza[m]there used to be a non-git nextpnr in the repos19:47
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:48
corecodewhat happened?19:54
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:55
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:59
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)20:04
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys20:21
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)20:28
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys20:29
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)20:34
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys20:35
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)20:41
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys20:44
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)21:11
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys21:13
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)21:22
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys21:26
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)21:32
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys21:36
so-offishDoes yosys not support functions that return a real value?21:37
so-offishmodule func_real_test();21:43
so-offish   localparam real a_value = rpow2(10.0);21:43
so-offish   function real rpow2;21:43
so-offish      input real inval;21:43
so-offish      rpow2 = inval * inval;21:43
so-offish   endfunction // rpow221:43
so-offish   21:43
so-offish      21:43
so-offish   initial begin21:43
so-offish      $display("rpow2(10.0):%f",a_value);21:43
so-offish   end21:43
so-offishendmodule21:43
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)21:58
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys21:59
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:13
*** nonchip <[email protected]> has joined #yosys22:13
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)22:23
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys22:31
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)22:37
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys22:38
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)22:47
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys22:50
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)23:02
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys23:05
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)23:12
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys23:12
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)23:18
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys23:21
jleightcapis there a recommended method for distributing .ys scripts?23:22
jleightcape.g. the same script with a different set of HDL input and -I dirs23:23
jleightcaprather than hard-coding inputs at the head of a `read_verilog` line23:23
jleightcapmaybe best to just use TCL in this case, although i'm not familiar :-)23:24
loftyjleightcap: yosys scripts passed with `-s` are processed after files on the command line23:24
loftyso, instead of having `read_verilog` lines in your script, pass those files on the command line23:25
jleightcapah yeah! that worked great, the only wrinkle i had was passing `-I` dirs for includes23:26
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)23:27
jleightcapmaybe like: `yosys -s synth.ys -p 'read_verilog -Ifoo $SRC'`23:28
jleightcapbut the script processes before the -p command it seems23:28
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys23:28
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)23:45
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys23:46
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)23:52
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys23:55

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!