Friday, 2023-06-02

*** tpb <[email protected]> has joined #yosys00:00
*** schaeg <schaeg!~anabrid@2001:638:904:ffc8:3299:c873:915e:746e> has quit IRC (Ping timeout: 248 seconds)00:17
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys00:49
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)00:55
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys00:58
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)01:04
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys01:15
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)01:21
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys01:23
*** SpaceCoaster <SpaceCoaster!~derek@user/spacecoaster> has quit IRC (Quit: Bye)01:42
*** SpaceCoaster <SpaceCoaster!~derek@user/spacecoaster> has joined #yosys01:43
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)01:55
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys01:59
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)02:05
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys02:08
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)02:14
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys02:17
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)02:28
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys02:30
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)02:45
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys02:49
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Remote host closed the connection)02:54
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys02:55
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)03:01
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys03:05
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)03:16
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys03:20
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)03:36
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys03:38
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)03:58
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys04:00
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)04:04
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys04:07
*** |{ame <|{ame!~|{[email protected]> has joined #yosys04:11
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)04:30
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys04:31
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)04:36
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys04:37
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::7> has quit IRC (Ping timeout: 256 seconds)04:46
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)04:51
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys04:52
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)05:02
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys05:04
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)05:22
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys05:26
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)05:38
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys05:41
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)05:49
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys05:50
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)05:56
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys06:03
*** FabM <FabM!~FabM@2a03:d604:103:600:2e60:8c7c:e8fb:7990> has joined #yosys06:04
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)06:08
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys06:09
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)06:17
*** |{ame <|{ame!~|{[email protected]> has quit IRC (Quit: Client closed)06:17
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys06:22
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)06:27
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys06:30
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)06:38
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys06:40
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)06:51
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys06:55
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)07:01
*** krispaul <[email protected]> has joined #yosys07:02
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 240 seconds)07:04
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys07:05
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)07:12
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys07:13
*** schaeg <schaeg!~anabrid@2001:638:904:ffc8:7630:411e:cf95:13ef> has joined #yosys07:22
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)07:26
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys07:29
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)07:34
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys07:35
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)07:41
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys07:45
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)07:56
*** keesj <[email protected]> has joined #yosys07:58
keesjHi07:59
keesjI am trying to do timing accurate simulation using iverilog. It it possible using yosys to read  liberty file and generate verilog containing the delay information?08:02
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys08:02
keesjI am targetting sky13008:03
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)08:14
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys08:17
gatecatkeesj: no, there's no ability inside yosys to deal with liberty timing (this is passed straight through to abc for synthesis)08:25
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)08:28
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys08:31
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)08:38
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys08:39
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)08:44
keesjI have seen some behavioural verilog file that do contain timing. any idea on where to get some  / how to convert somehow?08:50
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys08:51
keesjSo when yosys output the max frequency the device can run. What is this based on? 08:55
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)08:58
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys08:59
*** kivikakk <kivikakk!~kivikakk@2001:470:69fc:105::3:33ce> has quit IRC (Remote host closed the connection)09:00
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)09:10
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys09:13
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)09:18
gatecatdo you mean yosys or nextpnr?09:43
gatecatalthough yosys does have a sta command that can do this, it's not very widely used. it does indeed use the verilog timing information but that's very much fpga-oriented and was manually created, it can't load liberty timing09:44
gatecatfor an asic flow you might get some delay information from the liberty printed by abc, but yosys isn't involved there other than telling abc where the liberty file is...09:44
loftyYou'd want something like opensta for ASIC timing09:46
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys09:46
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)09:57
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys10:01
*** ymherklotz <ymherklotz!cb2c9cfbdd@2604:bf00:561:2000::29a> has quit IRC (Remote host closed the connection)10:05
*** muuo <muuo!939aa3488f@user/muuo> has quit IRC (Remote host closed the connection)10:05
*** jleightcap <jleightcap!7bc4014b62@user/jleightcap> has quit IRC (Remote host closed the connection)10:05
*** Zaba <Zaba!80b9b4b35e@2604:bf00:561:2000::116> has quit IRC (Remote host closed the connection)10:05
*** schaeg <schaeg!~anabrid@2001:638:904:ffc8:7630:411e:cf95:13ef> has quit IRC (Quit: Konversation terminated!)10:06
*** schaeg <schaeg!~anabrid@2001:638:904:ffc8:20ad:af9f:7d90:e05c> has joined #yosys10:06
*** jleightcap <jleightcap!7bc4014b62@user/jleightcap> has joined #yosys10:07
*** ymherklotz <ymherklotz!cb2c9cfbdd@2604:bf00:561:2000::29a> has joined #yosys10:07
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)10:07
*** muuo <muuo!939aa3488f@user/muuo> has joined #yosys10:07
*** Zaba <Zaba!80b9b4b35e@2604:bf00:561:2000::116> has joined #yosys10:08
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys10:09
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)10:32
*** schaeg <schaeg!~anabrid@2001:638:904:ffc8:20ad:af9f:7d90:e05c> has quit IRC (Ping timeout: 248 seconds)10:42
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys10:49
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)11:00
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys11:02
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)11:11
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys11:15
*** mewt <[email protected]> has quit IRC (Read error: Connection reset by peer)11:20
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)11:33
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys11:35
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)11:48
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys11:51
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)12:07
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys12:09
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)12:17
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys12:21
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)12:36
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys12:40
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)12:51
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys12:53
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)12:59
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys13:02
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)13:08
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys13:09
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)13:17
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys13:19
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)13:34
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys13:35
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)13:39
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys13:40
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)13:58
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys14:05
*** mewt <[email protected]> has joined #yosys14:16
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)14:16
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys14:20
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)14:38
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys14:39
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)14:44
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys14:47
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)15:03
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys15:05
*** so-offish <[email protected]> has joined #yosys15:16
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)15:17
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys15:21
*** so-offish <[email protected]> has quit IRC (Ping timeout: 240 seconds)15:22
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 246 seconds)16:00
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:05
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys16:06
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:10
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys16:13
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:19
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys16:21
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:26
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys16:28
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:41
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys16:44
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:50
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys16:53
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)17:01
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys17:04
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)17:31
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys17:37
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)17:48
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys17:50
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)18:13
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys18:17
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)18:32
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys18:35
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)18:46
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys18:48
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:12
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:17
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:25
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:36
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:42
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:43
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)20:03
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys20:07
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)20:18
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys20:30
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)20:34
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys20:37
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)20:48
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys20:49
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)21:12
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys21:16
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)21:22
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys21:26
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)21:32
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys21:35
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)21:46
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys21:50
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)22:08
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys22:09
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:13
*** nonchip <[email protected]> has joined #yosys22:13
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)22:20
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys22:23
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)22:28
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys22:29
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)22:36
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys22:40
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)22:48
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys22:51
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)23:08
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys23:10
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)23:15
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys23:18
*** Lord_Nightmare <Lord_Nightmare!Lord_Night@user/lord-nightmare/x-3657113> has quit IRC (Quit: ZNC - http://znc.in)23:27
*** Lord_Nightmare <Lord_Nightmare!~Lord_Nigh@user/lord-nightmare/x-3657113> has joined #yosys23:30
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)23:32
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys23:39
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)23:52
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys23:54
*** tokamak- <tokamak-!~Tokamak@2603:c020:4004:f00:59d7:d5e1:201e:845f> has quit IRC (Ping timeout: 240 seconds)23:54
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)23:58
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys23:59

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!