Friday, 2023-05-19

*** tpb <[email protected]> has joined #yosys00:00
*** mwk <mwk!~mwk@yosys/mwk> has quit IRC (Ping timeout: 240 seconds)00:30
*** so-offishul <[email protected]> has joined #yosys00:34
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::17> has quit IRC (Ping timeout: 240 seconds)00:36
*** so-offish1 <so-offish1!~so-offish@2610:148:610:2b11::17> has joined #yosys00:47
*** mwk <mwk!~mwk@yosys/mwk> has joined #yosys00:48
*** so-offishul <[email protected]> has quit IRC (Ping timeout: 268 seconds)00:51
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::17> has joined #yosys00:51
*** so-offish1 <so-offish1!~so-offish@2610:148:610:2b11::17> has quit IRC (Ping timeout: 246 seconds)00:54
*** cr1901_ <cr1901_!~cr1901@2601:8d:8600:911:cc09:34c3:7b50:cf94> has joined #yosys00:55
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:cc09:34c3:7b50:cf94> has quit IRC (Read error: Connection reset by peer)00:55
*** cr1901_ is now known as cr190100:56
*** mwk <mwk!~mwk@yosys/mwk> has quit IRC (Ping timeout: 240 seconds)00:58
*** mwk <mwk!~mwk@yosys/mwk> has joined #yosys01:16
*** arkanoid <arkanoid!~arkanoid@2001:b07:2ee:9731:a85d:eeff:fecc:37dc> has joined #yosys02:06
arkanoidhello! I'm a newbie handling yosys for the first time. By reading the manual/docs I'm not fully understanding the difference between `synth` script and `prep` script. Would you please try to explain it to me in simple terms?02:47
*** Guest66 <[email protected]> has joined #yosys04:06
*** Guest66 <[email protected]> has quit IRC (Client Quit)04:10
*** Guest45 <[email protected]> has joined #yosys04:10
Guest45Running ABC command: "<yosys-exe-dir>/yosys-abc" -s -f <abc-temp-dir>/abc.script 2>&104:16
Guest45ABC: ABC command line: "source <abc-temp-dir>/abc.script".04:16
Guest45ABC:04:16
Guest45ABC: + read_blif <abc-temp-dir>/input.blif04:16
Guest45ABC: + read_lib -w /home/dgkim/library/asap7.lib04:16
Guest45ABC: Parsing finished successfully.  Parsing time =     0.42 sec04:16
Guest45ABC: Library "asap7sc7p5t_AO_RVT_FF_nldm_211120" from "/home/dgkim/library/asap7.lib" has 42 cells (0 skipped: 0 seq; 0 tri-state; 0 no func; 0 dont_use).  Time =     0.50 sec04:16
Guest45ABC: Memory =   82.29 MB. Time =     0.50 sec04:16
Guest45ABC: Warnings: genlib library reader cannot detect the buffer gate.04:16
Guest45ABC: Some parts of the supergate-based technology mapper may not work correctly.04:16
Guest45ABC: Warnings: genlib library reader cannot detect the invertor gate.04:16
Guest45ABC: Some parts of the supergate-based technology mapper may not work correctly.04:16
Guest45ABC: Warnings: genlib library reader cannot detect the AND2, NAND2, OR2, and NOR2 gate.04:16
Guest45ABC: Some parts of the supergate-based technology mapper may not work correctly.04:16
Guest45ABC: + strash04:16
Guest45ABC: + &get -n04:16
Guest45ABC: + &fraig -x04:16
Guest45ABC: + &put04:16
Guest45how to solve it?04:16
Guest45I have BUF, AND2,NAND2,etc.. in .lib04:17
jevinskie[m]<so-offish> "jevinskie: What are you using..." <- I was probably going to use IDA scripted from the command line and just have it decompile the whole binary to C and then run some regexes over the C. Binja would be good too but I don’t have a headless license. I don’t even want to look into what it takes to get ghidra to spit out a C file from the command line.05:22
*** hrberg <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)06:34
*** hrberg <[email protected]> has joined #yosys06:35
*** Guest45 <[email protected]> has quit IRC (Quit: Client closed)06:37
*** krispaul <[email protected]> has joined #yosys07:02
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 256 seconds)07:04
*** Guest75 <[email protected]> has joined #yosys07:57
loftyarkanoid: `prep` is mostly for formal verification: simple, relatively straightforward transformations that don't majorly change the design07:59
lofty`synth` is for ASIC/FPGA synthesis; here things like technology mapping and such occur.08:00
*** Guest75 <[email protected]> has quit IRC (Quit: Client closed)08:08
*** |{ame <|{ame!~|{[email protected]> has quit IRC (Quit: Client closed)08:12
*** kraiskil <kraiskil!~kraiskil@10.121.104.92.dynamic.wline.res.cust.swisscom.ch> has joined #yosys10:11
arkanoidlofty: thanks!10:40
*** kraiskil <kraiskil!~kraiskil@10.121.104.92.dynamic.wline.res.cust.swisscom.ch> has quit IRC (Ping timeout: 240 seconds)12:46
*** somlo <[email protected]> has quit IRC (Ping timeout: 240 seconds)14:10
*** somlo <[email protected]> has joined #yosys14:12
*** so-offishul <[email protected]> has joined #yosys15:10
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::17> has quit IRC (Ping timeout: 240 seconds)15:13
*** so-offish1 <so-offish1!~so-offish@2610:148:610:2b11::10> has joined #yosys15:20
*** so-offishul <[email protected]> has quit IRC (Ping timeout: 268 seconds)15:23
*** tlwoerner <[email protected]> has quit IRC (Quit: Leaving)19:36
*** tlwoerner <[email protected]> has joined #yosys19:36
*** kittennbfive <[email protected]> has joined #yosys21:26
kittennbfiveHello! I have noticed that there is a "-full" flag for the "opt" pass, but it isn't used for synth_ecp5. I hacked https://github.com/YosysHQ/yosys/blob/master/techlibs/ecp5/synth_ecp5.cc#L286 replacing "opt" by "opt -full" and it seems to increase the maximum speed for my design by about 5-15MHz. Is this just luck or ... ? Is there a reason there isn't a -fullopt flag or similar for synth_ecp5?21:29
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:cc09:34c3:7b50:cf94> has quit IRC (Read error: Connection reset by peer)22:09
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:12
*** nonchip <[email protected]> has joined #yosys22:13
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:4859:6504:4f22:af6f> has joined #yosys22:16
loftykittennbfive: I mean, `opt` gets called a lot of times. normally it's just used for cleanup though.23:23
kittennbfiveThe strange thing is that if i add -full to the other opt-passes the maximum frequency goes down... But this is not a scientific experiment, just some random fiddling around...23:28

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!