Thursday, 2023-04-20

*** tpb <[email protected]> has joined #yosys00:00
*** mewt <[email protected]> has quit IRC (Ping timeout: 276 seconds)00:11
*** mewt <[email protected]> has joined #yosys00:12
*** mewt <[email protected]> has quit IRC (Client Quit)00:16
*** mewt <[email protected]> has joined #yosys00:16
*** bl0x_ <bl0x_!~bl0x@p200300d7a71c5400dc227b94f72608cf.dip0.t-ipconnect.de> has joined #yosys01:52
*** bl0x <[email protected]> has quit IRC (Ping timeout: 240 seconds)01:53
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys03:36
*** Forty-Bot <[email protected]> has quit IRC (Ping timeout: 265 seconds)04:38
*** Forty-Bot <[email protected]> has joined #yosys04:40
*** singham <singham!~singham@2405:201:f:1e5a:f53d:213e:e018:d1cb> has joined #yosys06:17
singhamDoes anyone know the details about file formats gdsii, oasis and edif?06:17
singhamgdsii is open and not free06:17
singhamWhen it is said not free, what do they mean?06:17
singhamAnd what's the role of edif format's open and free status?06:18
singhamThe pdfs of edif are paid06:18
*** singham <singham!~singham@2405:201:f:1e5a:f53d:213e:e018:d1cb> has quit IRC (Remote host closed the connection)06:27
*** tnt <tnt!~tnt@osmocom/tnt> has quit IRC (Ping timeout: 248 seconds)06:43
*** tnt <tnt!~tnt@osmocom/tnt> has joined #yosys06:43
*** singham <singham!~singham@2405:201:f:119d:819a:4f2a:ca8e:3b4b> has joined #yosys07:31
singham?08:39
*** singham <singham!~singham@2405:201:f:119d:819a:4f2a:ca8e:3b4b> has quit IRC (Remote host closed the connection)09:10
*** singham <singham!~singham@2405:201:f:119d:819a:4f2a:ca8e:3b4b> has joined #yosys09:23
*** singham <singham!~singham@2405:201:f:119d:819a:4f2a:ca8e:3b4b> has left #yosys09:25
*** bpye <bpye!~bpye@user/bpye> has quit IRC (Quit: Ping timeout (120 seconds))09:52
*** bpye <bpye!~bpye@user/bpye> has joined #yosys09:52
*** bjorkint0sh <bjorkint0sh!~bjork@2600:1700:5400:c80:b006:12fa:6768:4250> has joined #yosys09:53
*** bjorkintosh <bjorkintosh!~bjork@user/bjorkintosh> has quit IRC (Ping timeout: 260 seconds)09:55
*** sugarbeet <[email protected]> has quit IRC (Ping timeout: 248 seconds)11:21
*** sugarbeet <[email protected]> has joined #yosys11:22
gatecatso-offish: I didn't know Yosys supported these hot comment type attributes at all.... but this should work fine with a Verilog2001 style one13:09
gatecat localparam _STYLE = (STYLE == "") ? "block_ram" : STYLE;13:09
gatecat(* syn_ramstyle = _STYLE *) reg [WIDTH-1:0] ram [0:SIZE-1];13:09
tntOh wow, that works ?13:12
gatecatunless something goes wrong with string processing, I think so13:15
gatecatI did this before fine `(* keep, BEL=$sformatf("X%dY%d.FAB2RAM_A1", x, y0) *)`13:15
gatecat(x and y0 are localparams)13:16
tntOh that's nice, I always had to resource to tricks to get the BEL attribute programmatically set. Good to know it's actually possible !13:16
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::d> has quit IRC (Ping timeout: 256 seconds)15:20
*** somlo_ <[email protected]> has joined #yosys15:30
*** somlo <[email protected]> has quit IRC (Read error: Connection reset by peer)15:30
*** hrberg <[email protected]> has joined #yosys16:34
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::f> has joined #yosys17:14
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 255 seconds)17:26
so-offishgatecat: I'll do it that way; it fits better with the "vibe" of the tool anyway17:48
so-offishSpeaking of vibes: how is everyone configuring their EHXPLLL? An MMCM on Xilinx parts is easy: set multipliers, dividers, done... 18:03
so-offishThere is a PLL tool in prjtrellis/libtrellis/tools18:21
*** bjorkint0sh <bjorkint0sh!~bjork@2600:1700:5400:c80:b006:12fa:6768:4250> has quit IRC (Quit: Leaving)18:23
so-offishand if you use it from the command line, it gives you SOME output... but if you tell it to output to a file? It gives you a whole module!18:33
*** bjorkintosh <bjorkintosh!~bjork@2600:1700:5400:c80:55af:7616:2895:6465> has joined #yosys18:53
*** somlo_ <[email protected]> has quit IRC (Read error: Connection reset by peer)19:26
*** somlo <[email protected]> has joined #yosys19:29
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:11
*** nonchip <[email protected]> has joined #yosys22:11

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!