Tuesday, 2023-04-18

*** tpb <[email protected]> has joined #yosys00:00
*** philtom <[email protected]> has joined #yosys00:06
*** philtom is now known as philtor00:06
*** bl0x_ <bl0x_!~bl0x@p200300d7a71ca600d7b3e9173980248c.dip0.t-ipconnect.de> has joined #yosys01:54
*** bl0x <[email protected]> has quit IRC (Ping timeout: 240 seconds)01:55
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:2165:78a5:a8ca:d9c0> has quit IRC (Read error: Connection reset by peer)02:06
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:9ef:174c:9c38:c79e> has joined #yosys02:08
*** sauce <[email protected]> has joined #yosys02:52
*** peeps[zen] <peeps[zen]!~peepsalot@openscad/peepsalot> has quit IRC (Quit: Connection reset by peep)04:06
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has joined #yosys04:09
*** krispaul <[email protected]> has joined #yosys07:02
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 260 seconds)07:04
*** philtor <[email protected]> has quit IRC (Ping timeout: 240 seconds)09:54
*** smkz <smkz!~x@user/smkz> has quit IRC (Quit: smkz)10:29
*** krispaul <[email protected]> has quit IRC (Quit: WeeChat 2.3)14:47
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys14:48
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::10> has joined #yosys16:27
*** DiffieHellman <DiffieHellman!~Username@user/curve25519chacha> has quit IRC (Ping timeout: 255 seconds)16:41
*** DiffieHellman <DiffieHellman!~Username@user/curve25519chacha> has joined #yosys16:41
*** philtor <[email protected]> has joined #yosys17:38
*** smkz <smkz!~x@user/smkz> has joined #yosys18:04
*** smkz <smkz!~x@user/smkz> has quit IRC (Client Quit)18:05
so-offishCan you dynamically reconfigure the PLLs in ECP5 like you can with an MMCM?19:06
so-offishI've looked at the FPGA library, and the technical support lines I just... I guess I just don't believe it.19:09
so-offishI'm too Xilinx centric and my brain can't process a clocking element without a dynamic reconfiguration port.19:09
*** smkz <smkz!~x@user/smkz> has joined #yosys19:14
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:11
*** nonchip <[email protected]> has joined #yosys22:11
*** nak <nak!~nak@yosys/nak> has quit IRC (Ping timeout: 276 seconds)23:07
*** nak <nak!~nak@yosys/nak> has joined #yosys23:14

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!