Sunday, 2023-04-09

*** tpb <[email protected]> has joined #yosys00:00
*** bl0x <[email protected]> has joined #yosys01:04
*** bl0x_ <bl0x_!~bl0x@p200300d7a7434c00ca80e280e3ef284d.dip0.t-ipconnect.de> has quit IRC (Ping timeout: 260 seconds)01:06
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys05:52
*** krispaul <[email protected]> has joined #yosys07:02
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 252 seconds)07:04
*** Klotz <Klotz!~Klotzoman@gateway/tor-sasl/klotz> has joined #yosys13:26
*** Klotz <Klotz!~Klotzoman@gateway/tor-sasl/klotz> has quit IRC (Client Quit)13:26
*** Klotz <Klotz!~Klotzoman@gateway/tor-sasl/klotz> has joined #yosys13:33
*** Klotz <Klotz!~Klotzoman@gateway/tor-sasl/klotz> has quit IRC (Quit: Klotz)14:02
*** Klotz <Klotz!~Klotzoman@gateway/tor-sasl/klotz> has joined #yosys14:02
*** singham <singham!~singham@2405:201:f:1e5a:f53d:213e:e018:d1cb> has joined #yosys14:30
singhamAre there any plans to support GOWIN SRAM based FPGAs?14:30
singhamFor nexpnr14:45
singhamnextpnr14:45
*** Forty-Bot <[email protected]> has quit IRC (Ping timeout: 256 seconds)14:50
*** Forty-Bot <[email protected]> has joined #yosys14:53
*** Klotz <Klotz!~Klotzoman@gateway/tor-sasl/klotz> has quit IRC (Quit: Klotz)14:53
*** Klotz <Klotz!~Klotzoman@gateway/tor-sasl/klotz> has joined #yosys14:56
*** Forty-Bot <[email protected]> has quit IRC (Ping timeout: 255 seconds)15:05
*** Klotz <Klotz!~Klotzoman@gateway/tor-sasl/klotz> has quit IRC (Quit: Klotz)15:07
*** Klotz <Klotz!~Klotzoman@gateway/tor-sasl/klotz> has joined #yosys15:13
*** Klotz <Klotz!~Klotzoman@gateway/tor-sasl/klotz> has quit IRC (Client Quit)15:15
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 255 seconds)16:43
*** singham <singham!~singham@2405:201:f:1e5a:f53d:213e:e018:d1cb> has left #yosys16:56
*** so-offish <[email protected]> has joined #yosys21:18
*** so-offishul <so-offishul!~so-offish@2610:148:610:2b11::3> has joined #yosys21:19
*** so-offish <[email protected]> has quit IRC (Ping timeout: 255 seconds)21:23
*** so-offish1 <[email protected]> has joined #yosys21:39
*** so-offishul <so-offishul!~so-offish@2610:148:610:2b11::3> has quit IRC (Ping timeout: 265 seconds)21:43
*** so-offish <[email protected]> has joined #yosys21:47
*** so-offish1 <[email protected]> has quit IRC (Ping timeout: 268 seconds)21:48
*** so-offishul <so-offishul!~so-offish@2610:148:610:2b11::3> has joined #yosys21:48
*** so-offishul <so-offishul!~so-offish@2610:148:610:2b11::3> has quit IRC (Client Quit)21:50
*** so-offishul <so-offishul!~so-offish@2610:148:610:2b11::3> has joined #yosys21:50
*** so-offish <[email protected]> has quit IRC (Ping timeout: 268 seconds)21:52
*** strobo <[email protected]> has quit IRC (Ping timeout: 255 seconds)21:54
*** strobo <[email protected]> has joined #yosys21:55
*** lumo_e <lumo_e!~enrico@2a01:e11:7:720:2190:fa8b:ae17:945f> has joined #yosys22:03
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:11
*** nonchip <[email protected]> has joined #yosys22:11
*** lumo_e <lumo_e!~enrico@2a01:e11:7:720:2190:fa8b:ae17:945f> has quit IRC (Quit: Quit)22:13

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!