Tuesday, 2023-03-14

*** tpb <[email protected]> has joined #yosys00:00
*** lumo_e <[email protected]> has quit IRC (Quit: Quit)00:29
*** bl0x <[email protected]> has joined #yosys02:53
*** bl0x_ <bl0x_!~bl0x@p200300d7a70df80054d205d70cf7607c.dip0.t-ipconnect.de> has quit IRC (Ping timeout: 252 seconds)02:54
*** kivikakk <[email protected]> has quit IRC (Read error: Connection reset by peer)03:55
*** pbsds <[email protected]> has quit IRC (Quit: The Lounge - https://thelounge.chat)03:57
*** pbsds <[email protected]> has joined #yosys03:59
*** crzwdjk <[email protected]> has quit IRC (Quit: Client closed)04:53
*** skipwich_ <skipwich_!~skipwich@user/skipwich> has quit IRC (Ping timeout: 265 seconds)05:32
*** skipwich <skipwich!~skipwich@user/skipwich> has joined #yosys05:33
*** kivikakk <[email protected]> has joined #yosys06:27
*** cr1901_ <cr1901_!~cr1901@2601:8d:8600:911:40ab:efe6:2026:d4c2> has joined #yosys06:30
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:a1d7:b6ef:d9ef:bcab> has quit IRC (Killed (NickServ (GHOST command used by cr1901_!~cr1901@2601:8d:8600:911:40ab:efe6:2026:d4c2)))06:31
*** cr1901_ is now known as cr190106:31
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 265 seconds)07:04
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys07:07
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:40ab:efe6:2026:d4c2> has quit IRC (Read error: Connection reset by peer)08:33
*** cr1901_ <cr1901_!~cr1901@2601:8d:8600:911:49ba:3ff7:be12:607f> has joined #yosys08:33
*** singham <singham!~singham@2405:201:f:1e5a:f53d:213e:e018:d1cb> has joined #yosys08:41
singhamIs there a list of non-synthesizable features of Verilog for yosys, nextpnr somewhere?08:41
*** josuah <[email protected]> has quit IRC (Ping timeout: 268 seconds)09:27
*** josuah <[email protected]> has joined #yosys09:29
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has quit IRC (Read error: Connection reset by peer)09:51
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has joined #yosys09:52
singhamAnyone?10:36
singhamI ask because in simulation, I'm getting the right results10:36
singhamBut flashing on my iCE40 board, I get nothing10:37
singhamIf you've faced such issues, any help will be appreciated10:37
tntYou will get warning for anything that's non-synthesizable.10:42
tntHowever sim mismatches are a MUCH wider set than non-synthesizable ...10:43
tntPost your code somewhere ...10:44
singhamIt's big10:45
singhamHow can I see sim mismatches?10:45
tntWell then hire someone ... but there is no way we can give you a full digital design course over IRC ...10:45
singhamI mean in verilog there is synthesizable code right10:46
singhamSo as long as I write synthesizable verilog, sim should equate synth right?10:46
singhamI have done a course on digital electronics, and a course on verilog from IIT KGP on hardware modelling10:48
jix_singham: there's IEEE 1364.1 which defines some synthesizable verilog, but it's much more conservative than what's used in practice and there's no equivalent for systemverilog AFAIK, but even for that restrictive subset there are sim mismatches10:49
singhamThe paper is paid10:50
singhamIs there a list of accepted verilog keywords in yosys10:51
tntsynthesizable != deterministic  you can write verilog that's synthesizable but that has behaviors "left to the implementation" and so the sim and synthesis tool are free to take different choices.10:52
tntAnd that's excluding all the "real world" things that can change real behavior from sim ( unstable clock / hw limitations / any "external device and IO timings / real world delays / ... )10:54
singhamYes, but the net effect should be same right?!10:57
singhamLowering clock frequency should get rid of those issues, shouldn't it?10:57
jix_even _excluding_ all that, you still can have synthesizable verilog with sim mismatches10:59
jix_if you suspect the issue actually is such a mismatch, you can try to see if you can reproduce the issue with a post synth simulation11:00
singhamI think, then, those are the issues with simulation and synthesis tools11:00
singhamAny HDLs should go towards perfect simulation and reasonably good synthesis such that final logic is delivered.11:01
jix_it's an issue of verilog itself really, if you follow the standards you can still get mismatches for perfectly valid verilog11:01
singhamif there's an if else statement within always block, does it need begin end11:01
singhamalways @(posedge clk) if foo this; else that;11:02
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 255 seconds)11:02
singhamIs this syntactically correct or11:02
singhamalways @(posedge clk) begin if foo this; else that; end11:02
singhamAbove format is needed?11:02
tntIf it was not  syntactically correct, it wouldn't have built.11:03
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys11:03
jix_singham: If you're looking for help, I'd really recommend you try to reproduce the issue with a design that you are willing to share.11:04
singhamtnt: Alright11:07
singhamIt is over 7 files.11:08
singhamHow can I share it?11:08
jix_upload it somewhere public (e.g. github or a pastebin) and share a link11:11
singhamhttps://gitlab.com/x653/xv6-riscv-fpga11:14
tpbTitle: Michael Schröder / xv6-riscv-fpga · GitLab (at gitlab.com)11:14
jix_so this is not your own code? given that this was developed using yosys and seems to be working fine for the author, I'm fairly confident that the issue has nothing to do with what yosys and nextpnr are doing11:22
singhamThat is the assumption, but since I was unable to reproduce it on my board, I'm trying to debug it.11:35
singhamYou see, I'm on the 4th stage of what you're suggesting11:35
singhamjix_ and tnt Thanks folks. I will leave for now.11:40
*** singham <singham!~singham@2405:201:f:1e5a:f53d:213e:e018:d1cb> has left #yosys11:40
*** bjorkintosh <bjorkintosh!~bjork@user/bjorkintosh> has quit IRC (Ping timeout: 276 seconds)13:06
*** jix_ is now known as jix13:35
*** GenTooMan <GenTooMan!~cyberman@2601:547:437f:e5c6:21f:5bff:fefe:a883> has quit IRC (Ping timeout: 260 seconds)14:03
*** GenTooMan <GenTooMan!~cyberman@2601:547:437f:e5c6:21f:5bff:fefe:a883> has joined #yosys14:12
*** bjorkintosh <bjorkintosh!~bjork@2600:1700:5400:c80:feee:637e:724e:9d16> has joined #yosys14:37
*** DiffieHellman <DiffieHellman!~Username@user/curve25519chacha> has quit IRC (Ping timeout: 255 seconds)14:42
*** DiffieHellman <DiffieHellman!~Username@user/curve25519chacha> has joined #yosys14:45
tntIs there an attribute to prevent yosys from recoding a FSM ?14:57
jixtnt: untested, just from looking at the source: (* fsm_encoding = "none" *)14:59
tntjix: tx !15:00
*** so-offishul <[email protected]> has joined #yosys15:03
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::4> has quit IRC (Ping timeout: 250 seconds)15:07
*** so-offishul <[email protected]> has quit IRC (Ping timeout: 268 seconds)15:08
*** so-offish <[email protected]> has joined #yosys15:11
*** so-offishul <so-offishul!~so-offish@2610:148:610:2b11::17> has joined #yosys15:12
*** so-offish <[email protected]> has quit IRC (Ping timeout: 276 seconds)15:16
*** adjtm <[email protected]> has joined #yosys16:22
*** cr1901_ is now known as cr190116:29
*** so-offishul <so-offishul!~so-offish@2610:148:610:2b11::17> has quit IRC (Quit: Leaving)16:57
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::17> has joined #yosys17:19
so-offishSup ya'll18:25
corecodey'all*20:33
so-offishSup y'all* ty20:53
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:49ba:3ff7:be12:607f> has quit IRC (Read error: Connection reset by peer)21:51
*** adjtm <[email protected]> has quit IRC (Read error: Connection reset by peer)21:52
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:49ba:3ff7:be12:607f> has joined #yosys21:52
bl0xSup all y'all o/22:05
so-offishhi!22:11
so-offishOk, I can officially crash nextpnr reliably. I am willing to go build it from source and turn on all debug options - is there a certain build option that would provide a log for the devs or anything? (nextpnr-ecp5)22:12
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)23:09
*** nonchip <[email protected]> has joined #yosys23:09
*** somlo <[email protected]> has quit IRC (Ping timeout: 260 seconds)23:38
*** lumo_e <[email protected]> has joined #yosys23:40
*** SpaceCoaster <SpaceCoaster!~derek@user/spacecoaster> has quit IRC (Ping timeout: 260 seconds)23:41
*** somlo <[email protected]> has joined #yosys23:42

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!